08:56:29.563989 mtc PCU_Tests.ttcn:4703 Starting external command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion'. 08:56:30.592049 mtc PCU_Tests.ttcn:4703 External command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion' was executed successfully (exit status: 0). 08:56:30.592266 mtc PCU_Tests.ttcn:4703 Test case TC_egprs_pkt_chan_req_reject_exhaustion started. 08:56:30.592342 mtc PCU_Tests.ttcn:4703 Initializing variables, timers and ports of component type PCU_Tests.RAW_PCU_Test_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.592543 mtc PCU_Tests.ttcn:4703 Port BTS was started. 08:56:30.592592 mtc PCU_Tests.ttcn:4703 Port IPA_CTRL was started. 08:56:30.592630 mtc PCU_Tests.ttcn:4703 Port STATSD_PROC was started. 08:56:30.592668 mtc PCU_Tests.ttcn:4703 Port PROC was started. 08:56:30.592705 mtc PCU_Tests.ttcn:4703 Port RIM was started. 08:56:30.592741 mtc PCU_Tests.ttcn:4703 Port BSSGP[0] was started. 08:56:30.592776 mtc PCU_Tests.ttcn:4703 Port BSSGP[1] was started. 08:56:30.592811 mtc PCU_Tests.ttcn:4703 Port BSSGP[2] was started. 08:56:30.592845 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[0] was started. 08:56:30.592882 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[1] was started. 08:56:30.592917 mtc PCU_Tests.ttcn:4703 Port BSSGP_SIG[2] was started. 08:56:30.592953 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[0] was started. 08:56:30.592989 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[1] was started. 08:56:30.593025 mtc PCU_Tests.ttcn:4703 Port BSSGP_GLOBAL[2] was started. 08:56:30.593060 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[0] was started. 08:56:30.593096 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[1] was started. 08:56:30.593136 mtc PCU_Tests.ttcn:4703 Port BSSGP_PROC[2] was started. 08:56:30.593173 mtc PCU_Tests.ttcn:4703 Port PCUVTY was started. 08:56:30.593209 mtc PCU_Tests.ttcn:4703 Component type PCU_Tests.RAW_PCU_Test_CT was initialized. 08:56:30.595537 mtc PCU_Tests.ttcn:280 Start timer g_T_guard: 60 s 08:56:30.595733 mtc PCU_Tests.ttcn:281 Altstep as_Tguard_RAW was activated as default, id 1 08:56:30.595771 mtc PCU_Tests.ttcn:284 Creating new alive PTC with component type PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:30.604803 509 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.604824 509 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.605026 509 - TTCN-3 Parallel Test Component started on 3739f30a986a. Component reference: PCUIF(509), component type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. Version: 9.0.0. 08:56:30.605085 509 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; PCUIF.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.605193 509 - Connected to MC. 08:56:30.605233 509 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCUIF_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.605537 mtc PCU_Tests.ttcn:284 PTC was created. Component reference: 509, alive: yes, type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:30.605710 mtc PCU_Tests.ttcn:286 Mapping port PCUIF(509):PCU to system:PCU. 08:56:30.608559 509 - Port PCU was started. 08:56:30.608622 509 - Port BTS was started. 08:56:30.608643 509 - Port MTC was started. 08:56:30.608660 509 - Component type PCUIF_Components.RAW_PCUIF_CT was initialized. 08:56:30.608761 509 - Port PCU was mapped to system:PCU. 08:56:30.609067 mtc PCU_Tests.ttcn:286 Map operation of PCUIF(509):PCU to system:PCU finished. 08:56:30.609214 mtc PCU_Tests.ttcn:289 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:30.618069 510 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.618088 510 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.618284 510 - TTCN-3 Parallel Test Component started on 3739f30a986a. Component reference: BTS(510), component type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. Version: 9.0.0. 08:56:30.618380 510 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.618482 510 - Connected to MC. 08:56:30.618522 510 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_BTS_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.618815 mtc PCU_Tests.ttcn:289 PTC was created. Component reference: 510, alive: yes, type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:30.618967 mtc PCU_Tests.ttcn:290 Connecting ports BTS(510):PCUIF and PCUIF(509):BTS. 08:56:30.619512 509 - Port BTS is waiting for connection from BTS(510):PCUIF on UNIX pathname /tmp/ttcn3-portconn-e548aaa3. 08:56:30.621778 510 - Port CLCK was started. 08:56:30.621840 510 - Port PCUIF was started. 08:56:30.621860 510 - Port TC was started. 08:56:30.621878 510 - Component type PCUIF_Components.RAW_PCU_BTS_CT was initialized. 08:56:30.621962 510 - Port PCUIF has established the connection with PCUIF(509):BTS using transport type UNIX. 08:56:30.622192 509 - Port BTS has accepted the connection from BTS(510):PCUIF. 08:56:30.622568 mtc PCU_Tests.ttcn:290 Connect operation on BTS(510):PCUIF and PCUIF(509):BTS finished. 08:56:30.622706 mtc PCU_Tests.ttcn:291 Connecting ports BTS(510):TC and mtc:BTS. 08:56:30.623214 mtc PCU_Tests.ttcn:291 Port BTS is waiting for connection from BTS(510):TC on UNIX pathname /tmp/ttcn3-portconn-1544fe16. 08:56:30.623496 510 - Port TC has established the connection with mtc:BTS using transport type UNIX. 08:56:30.623713 mtc PCU_Tests.ttcn:291 Port BTS has accepted the connection from BTS(510):TC. 08:56:30.623857 mtc PCU_Tests.ttcn:291 Connect operation on BTS(510):TC and mtc:BTS finished. 08:56:30.623910 mtc PCU_Tests.ttcn:259 Mapping port mtc:PCUVTY to system:PCUVTY. 08:56:30.624821 mtc PCU_Tests.ttcn:259 Message enqueued on PCUVTY from system integer : 2 id 1 08:56:30.624951 mtc PCU_Tests.ttcn:259 Port PCUVTY was mapped to system:PCUVTY. 08:56:30.625233 mtc PCU_Tests.ttcn:259 Map operation of mtc:PCUVTY to system:PCUVTY finished. 08:56:30.625377 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:30.625426 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:30.625454 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:30.625596 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "enable" 08:56:30.625719 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.625781 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.625810 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.625832 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.625853 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.625875 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:30.625904 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port PCUVTY succeeded: 2 with ? matched 08:56:30.625935 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port PCUVTY succeeded, message from system(): integer : 2 id 1 08:56:30.625968 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of PCUVTY. 08:56:30.626023 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 2 08:56:30.626126 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.626210 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.626240 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:30.626270 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of PCUVTY. 08:56:30.626334 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.626384 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:30.626440 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.626943 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 3 08:56:30.627152 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.627247 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.627348 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.627385 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 3 08:56:30.627421 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of PCUVTY. 08:56:30.627456 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.627497 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:30.627601 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.627955 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 4 08:56:30.628162 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.628257 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.628356 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.628392 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 4 08:56:30.628430 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of PCUVTY. 08:56:30.628486 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.628528 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "egprs only" 08:56:30.628631 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.629087 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:30.629211 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 6 08:56:30.629331 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.629415 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.629487 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:56:30.629536 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:56:30.629575 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY succeeded: "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with ? matched 08:56:30.629613 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port PCUVTY succeeded, message from system(): charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:30.629647 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 5 was extracted from the queue of PCUVTY. 08:56:30.629712 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.629776 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.629864 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.629896 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 6 08:56:30.629925 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of PCUVTY. 08:56:30.629956 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.629995 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:30.630097 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.630347 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 7 08:56:30.630420 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.630487 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.630517 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 7 08:56:30.630548 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of PCUVTY. 08:56:30.630578 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.630611 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:30.630665 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.631055 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 8 08:56:30.631261 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.631357 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.631457 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.631494 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 8 08:56:30.631530 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of PCUVTY. 08:56:30.631565 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.631606 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:30.631707 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.632067 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 9 08:56:30.632274 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.632367 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.632466 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.632503 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 9 08:56:30.632538 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of PCUVTY. 08:56:30.632573 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.632614 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "no two-phase-access" 08:56:30.632716 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.632984 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 10 08:56:30.633052 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.633114 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:30.633202 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:30.633235 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 10 08:56:30.633266 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of PCUVTY. 08:56:30.633297 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.633325 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:30.633373 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.633711 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 11 08:56:30.633924 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.634024 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.634060 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 11 08:56:30.634097 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of PCUVTY. 08:56:30.634130 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.634195 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:30.642649 511 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.642665 511 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.642824 511 - TTCN-3 Parallel Test Component started on 3739f30a986a. Component reference: TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511), component type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. Version: 9.0.0. 08:56:30.642870 511 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.642954 511 - Connected to MC. 08:56:30.642985 511 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.643201 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 511, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:30.643309 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.88.10", 8125) on component TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511). 08:56:30.643560 mtc StatsD_Checker.ttcn:252 Function was started. 08:56:30.643660 mtc PCU_Tests.ttcn:298 Connecting ports mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:30.644077 mtc PCU_Tests.ttcn:298 Port STATSD_PROC is waiting for connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-1656a802. 08:56:30.645876 511 - Port STATSVTY was started. 08:56:30.645934 511 - Port STATSD_PROC was started. 08:56:30.645963 511 - Port STATS was started. 08:56:30.645981 511 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 08:56:30.646133 511 - Starting function main("172.18.88.10", 8125). 08:56:30.646288 511 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 08:56:30.646532 mtc PCU_Tests.ttcn:298 Port STATSD_PROC has accepted the connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:30.646580 511 StatsD_Checker.ttcn:103 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATS to system:STATS. 08:56:30.646653 mtc PCU_Tests.ttcn:298 Connect operation on mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC finished. 08:56:30.646690 mtc PCU_Tests.ttcn:300 Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false) on component PCUIF(509). 08:56:30.646773 511 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 08:56:30.646963 mtc PCU_Tests.ttcn:300 Function was started. 08:56:30.647020 509 - Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false). 08:56:30.647031 511 StatsD_Checker.ttcn:103 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATS to system:STATS finished. 08:56:30.647209 mtc PCU_Tests.ttcn:301 Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true) on component BTS(510). 08:56:30.647242 509 PCUIF_Components.ttcn:648 "Init PCU interface on '/data/unix/pcu_bts', waiting for connection..." 08:56:30.647481 511 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.88.10:8125 / UDP 08:56:30.647850 509 PCUIF_CodecPort.ttcn:117 Sent on PCU to system @UD_Types.UD_listen : { path := "/data/unix/pcu_bts" } 08:56:30.648332 mtc PCU_Tests.ttcn:301 Function was started. 08:56:30.648399 509 PCUIF_CodecPort.ttcn:117 Message enqueued on PCU from system @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:30.648635 509 PCUIF_CodecPort.ttcn:118 Start timer T: 5 s 08:56:30.648828 510 - Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true). 08:56:30.648833 509 PCUIF_CodecPort.ttcn:120 Matching on port PCU succeeded: matched 08:56:30.648897 509 PCUIF_CodecPort.ttcn:120 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:30.648934 509 PCUIF_CodecPort.ttcn:120 Message with id 1 was extracted from the queue of PCU. 08:56:30.649066 509 PCUIF_Components.ttcn:652 Start timer T_Conn: 10 s 08:56:30.649335 511 StatsD_Checker.ttcn:111 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSVTY to system:STATSVTY. 08:56:30.650523 511 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 08:56:30.650712 511 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 08:56:30.650723 510 PCUIF_Components.ttcn:499 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:30.650830 511 StatsD_Checker.ttcn:111 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSVTY to system:STATSVTY finished. 08:56:30.651195 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:30.651333 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:30.651378 511 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:30.651692 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 08:56:30.651867 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.652005 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.652033 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.652054 511 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.652074 511 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.652118 511 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:30.652233 511 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 08:56:30.652293 511 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 08:56:30.652329 511 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 08:56:30.652503 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 2 08:56:30.652728 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.652824 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.652853 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:30.652880 511 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 08:56:30.652931 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.652979 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:30.653038 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:30.653122 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 3 08:56:30.653187 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:30.653258 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:30.653284 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 3 08:56:30.653310 511 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 08:56:30.653340 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:30.658774 512 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.658790 512 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:30.658947 512 - TTCN-3 Parallel Test Component started on 3739f30a986a. Component reference: ClckGen-0(512), component type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. Version: 9.0.0. 08:56:30.658984 512 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; ClckGen-0.ConsoleMask:=ERROR; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:30.659053 512 - Connected to MC. 08:56:30.659080 512 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_ClckGen_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:30.659216 510 PCUIF_Components.ttcn:499 PTC was created. Component reference: 512, alive: yes, type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:30.659374 510 PCUIF_Components.ttcn:500 Connecting ports ClckGen-0(512):CLCK and BTS(510):CLCK. 08:56:30.659672 510 PCUIF_Components.ttcn:500 Port CLCK is waiting for connection from ClckGen-0(512):CLCK on UNIX pathname /tmp/ttcn3-portconn-a54a4a3. 08:56:30.661385 512 - Port CLCK was started. 08:56:30.661439 512 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was initialized. 08:56:30.661515 512 - Port CLCK has established the connection with BTS(510):CLCK using transport type UNIX. 08:56:30.661577 510 PCUIF_Components.ttcn:500 Port CLCK has accepted the connection from ClckGen-0(512):CLCK. 08:56:30.661683 510 PCUIF_Components.ttcn:500 Connect operation on ClckGen-0(512):CLCK and BTS(510):CLCK finished. 08:56:32.366629 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:32.366831 509 PCUIF_Components.ttcn:654 Matching on port PCU succeeded: matched 08:56:32.366873 509 PCUIF_Components.ttcn:654 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:32.366905 509 PCUIF_Components.ttcn:654 Message with id 2 was extracted from the queue of PCU. 08:56:32.366955 509 PCUIF_Components.ttcn:655 OsmoPCU is now connected 08:56:32.367260 509 PCUIF_Components.ttcn:658 Sent on BTS to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } 08:56:32.367441 509 PCUIF_Components.ttcn:660 setverdict(pass): none -> pass 08:56:32.367500 509 PCUIF_Components.ttcn:661 Stop timer T_Conn: 10 s 08:56:32.367740 510 PCUIF_Components.ttcn:503 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:32.367851 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '7000000000312E342E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 3 08:56:32.368025 510 PCUIF_Components.ttcn:503 Matching on port PCUIF succeeded: matched 08:56:32.368102 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '7000000000312E342E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.368112 510 PCUIF_Components.ttcn:503 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:32.368158 510 PCUIF_Components.ttcn:503 Message with id 1 was extracted from the queue of PCUIF. 08:56:32.368719 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } 08:56:32.369005 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } }, id := 0 } id 3 08:56:32.369082 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.369128 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } }, id := 0 } id 3 08:56:32.369158 509 PCUIF_Components.ttcn:665 Message with id 3 was extracted from the queue of PCU. 08:56:32.369217 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } 08:56:32.369600 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } id 2 08:56:32.369922 510 PCUIF_Components.ttcn:507 Matching on port PCUIF succeeded: matched 08:56:32.369975 510 PCUIF_Components.ttcn:507 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.4.0" } } } id 2 08:56:32.370009 510 PCUIF_Components.ttcn:507 Message with id 2 was extracted from the queue of PCUIF. 08:56:32.370037 510 PCUIF_Components.ttcn:508 Rx TXT.ind from the PCU, version is "1.4.0" 08:56:32.371447 510 PCUIF_Components.ttcn:511 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:32.372253 510 PCUIF_Components.ttcn:377 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.372375 510 PCUIF_Components.ttcn:522 Sent on TC to mtc @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } 08:56:32.372437 510 PCUIF_Components.ttcn:525 Starting function f_ClckGen_CT_handler(0) on component ClckGen-0(512). 08:56:32.372585 mtc PCU_Tests.ttcn:304 Message enqueued on BTS from BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:32.372727 mtc PCU_Tests.ttcn:304 Matching on port BTS succeeded: matched 08:56:32.372765 mtc PCU_Tests.ttcn:304 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:32.372797 mtc PCU_Tests.ttcn:304 Message with id 1 was extracted from the queue of BTS. 08:56:32.372810 510 PCUIF_Components.ttcn:525 Function was started. 08:56:32.372889 mtc StatsD_Checker.ttcn:262 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_reset : { } 08:56:32.372952 512 - Starting function f_ClckGen_CT_handler(0). 08:56:32.373025 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:32.373176 511 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.373363 511 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:32.373433 511 StatsD_Checker.ttcn:120 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.373487 511 StatsD_Checker.ttcn:120 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:32.373523 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:32.373595 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } 08:56:32.373631 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.373650 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:32.373839 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.373953 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:32.374030 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 4 08:56:32.374086 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:32.374207 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.374244 509 PCUIF_Components.ttcn:678 Message with id 1 was extracted from the queue of BTS. 08:56:32.374252 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:32.374279 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:32.374284 510 PCUIF_Components.ttcn:444 Message with id 1 was extracted from the queue of CLCK. 08:56:32.374425 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:32.374468 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:32.374533 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 4 08:56:32.374589 511 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 08:56:32.374646 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:32.374836 511 StatsD_Checker.ttcn:122 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 08:56:32.375125 mtc StatsD_Checker.ttcn:262 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.375253 mtc StatsD_Checker.ttcn:263 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:32.375287 mtc StatsD_Checker.ttcn:263 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): @StatsD_Checker.STATSD_reset : { } id 1 08:56:32.375319 mtc StatsD_Checker.ttcn:263 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:32.375355 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.339289. 08:56:32.375473 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:32.375533 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101000000'B 08:56:32.375589 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (682): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:32.375655 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } }, id := 0 } 08:56:32.375673 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=682, TA=0 08:56:32.375776 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.375844 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.375872 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12580A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:32.376028 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:32.376388 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.376500 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.376546 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.376581 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.376615 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:32.376648 510 PCUIF_Components.ttcn:352 Message with id 1 was extracted from the queue of TC. 08:56:32.376703 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.377184 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12580A0000000000000000000000000000000000000000000000000000000005'O 08:56:32.377653 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12580A0000000000000000000000000000000000000000000000000000000005'O, id := 0 } 08:56:32.377982 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:32.378093 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:32.378174 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.378255 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.378290 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:32.378346 509 PCUIF_Components.ttcn:678 Message with id 2 was extracted from the queue of BTS. 08:56:32.378489 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.378517 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.378517 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.378582 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.378651 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.378998 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.379065 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.379093 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:32.379118 509 PCUIF_Components.ttcn:678 Message with id 3 was extracted from the queue of BTS. 08:56:32.379176 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } }, id := 0 } 08:56:32.379201 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:32.379241 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.379606 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.379667 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.379698 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.379724 509 PCUIF_Components.ttcn:678 Message with id 4 was extracted from the queue of BTS. 08:56:32.379840 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.379864 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.379923 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.380283 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.380477 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 4 08:56:32.380520 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.380797 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:32.380860 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:32.380893 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.380921 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:32.380947 509 PCUIF_Components.ttcn:665 Message with id 4 was extracted from the queue of PCU. 08:56:32.380976 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:32.381109 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:32.381317 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_ACT_REQ (64) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.381382 510 PCUIF_Components.ttcn:529 Matching on port PCUIF succeeded: matched 08:56:32.381413 510 PCUIF_Components.ttcn:529 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:32.381444 510 PCUIF_Components.ttcn:529 Message with id 3 was extracted from the queue of PCUIF. 08:56:32.381469 510 PCUIF_Components.ttcn:530 "Rx ACT.req from the PCU: TRX0/TS7" 08:56:32.382250 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 5 08:56:32.382318 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.382672 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.382835 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:32.382879 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.382914 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:32.382944 509 PCUIF_Components.ttcn:665 Message with id 5 was extracted from the queue of PCU. 08:56:32.382982 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.383207 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:32.383303 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.383378 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.383430 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.383452 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.383514 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.383585 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.383622 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.383658 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:32.383714 510 PCUIF_Components.ttcn:556 Message with id 4 was extracted from the queue of PCUIF. 08:56:32.383846 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O 08:56:32.384103 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045200000000B2B2B2B2B2B'O, confirm := false } 08:56:32.384290 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.384561 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045200000000B2B2B2B2B2B'O 08:56:32.385783 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.386770 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.387463 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:32.387783 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.387869 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:32.387923 mtc GPRS_Components.ttcn:1068 Message with id 2 was extracted from the queue of BTS. 08:56:32.387970 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.388082 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.388237 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } 08:56:32.388254 mtc GPRS_Components.ttcn:1077 setverdict(pass): none -> pass 08:56:32.388307 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.388308 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.388347 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.282476. 08:56:32.388413 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:32.388449 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:32.388464 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101000000'B 08:56:32.388517 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (682): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:32.388595 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=682, TA=0 08:56:32.388679 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.388742 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.388743 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.388793 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.388824 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:32.388856 510 PCUIF_Components.ttcn:460 Message with id 2 was extracted from the queue of CLCK. 08:56:32.389004 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.389115 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:32.389153 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.389154 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:32.389188 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:32.389215 509 PCUIF_Components.ttcn:678 Message with id 5 was extracted from the queue of BTS. 08:56:32.389220 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.389263 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.389274 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.389300 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.389300 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.389331 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.389362 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:32.389365 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.389389 510 PCUIF_Components.ttcn:352 Message with id 2 was extracted from the queue of TC. 08:56:32.389419 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.389722 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.389808 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.389842 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.389871 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.389896 509 PCUIF_Components.ttcn:678 Message with id 6 was extracted from the queue of BTS. 08:56:32.389944 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.389969 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.390017 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.390393 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.392238 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 6 08:56:32.392283 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.392503 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.392590 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:32.392617 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.392647 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:32.392670 509 PCUIF_Components.ttcn:665 Message with id 6 was extracted from the queue of PCU. 08:56:32.392699 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.392875 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:32.392959 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.393049 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.393096 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } 08:56:32.393109 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.393150 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.393153 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.393200 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.393232 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.393264 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:32.393294 510 PCUIF_Components.ttcn:556 Message with id 5 was extracted from the queue of PCUIF. 08:56:32.393319 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O 08:56:32.393357 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045210800000B2B2B2B2B2B'O, confirm := false } 08:56:32.393391 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.393470 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045210800000B2B2B2B2B2B'O 08:56:32.393570 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.393764 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.393898 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:32.393949 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.393971 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:32.393992 510 PCUIF_Components.ttcn:444 Message with id 3 was extracted from the queue of CLCK. 08:56:32.394024 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:32.394071 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:32.394094 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:32.394096 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.394116 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:32.394136 509 PCUIF_Components.ttcn:678 Message with id 7 was extracted from the queue of BTS. 08:56:32.394164 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } }, id := 0 } 08:56:32.394183 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:32.394211 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.394372 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.394445 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045210800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:32.394509 mtc GPRS_Components.ttcn:1068 Message with id 3 was extracted from the queue of BTS. 08:56:32.394513 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.394551 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.394793 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.394837 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.394864 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.162049. 08:56:32.394918 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.394961 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:32.395002 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.395058 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:32.395127 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.395181 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.395191 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:32.395252 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.395288 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.395320 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.395350 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.395375 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:32.395397 510 PCUIF_Components.ttcn:352 Message with id 3 was extracted from the queue of TC. 08:56:32.395421 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.395620 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.395781 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.395854 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.395943 509 PCUIF_Components.ttcn:678 Message with id 8 was extracted from the queue of BTS. 08:56:32.396053 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.396111 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.396207 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.396632 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.397797 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.397911 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.398608 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 7 08:56:32.398773 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.399163 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.399322 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:32.399393 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.399458 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:32.399518 509 PCUIF_Components.ttcn:665 Message with id 7 was extracted from the queue of PCU. 08:56:32.399582 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.399804 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:32.399954 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.400014 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.400056 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.400101 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.400133 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.400165 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:32.400194 510 PCUIF_Components.ttcn:556 Message with id 6 was extracted from the queue of PCUIF. 08:56:32.400240 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O 08:56:32.400280 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A21000000B2B2B2B2B2B'O, confirm := false } 08:56:32.400315 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.400359 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A21000000B2B2B2B2B2B'O 08:56:32.400455 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.400624 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.400993 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:32.401305 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.401388 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:32.401442 mtc GPRS_Components.ttcn:1068 Message with id 4 was extracted from the queue of BTS. 08:56:32.401490 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.401784 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.401837 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.401871 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.127917. 08:56:32.401936 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.401989 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:32.402040 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.402104 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:32.402187 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.402256 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.402337 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.402549 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.402552 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.402589 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.402611 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.402653 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.402684 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.402716 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:32.402747 510 PCUIF_Components.ttcn:352 Message with id 4 was extracted from the queue of TC. 08:56:32.402781 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.403013 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:32.403176 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.403247 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:32.403863 509 PCUIF_Components.ttcn:678 Message with id 9 was extracted from the queue of BTS. 08:56:32.403982 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.404039 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.404132 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.404581 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.406752 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 8 08:56:32.406915 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.407228 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.407279 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } 08:56:32.407327 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.407334 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.407466 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:32.407490 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:32.407564 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.407631 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:32.407667 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.407690 509 PCUIF_Components.ttcn:665 Message with id 8 was extracted from the queue of PCU. 08:56:32.407711 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.407741 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:32.407756 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.407772 510 PCUIF_Components.ttcn:460 Message with id 4 was extracted from the queue of CLCK. 08:56:32.407860 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.407985 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:32.408042 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.408043 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 10 08:56:32.408114 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.408159 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.408177 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.408199 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.408233 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.408251 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 10 08:56:32.408267 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:32.408295 510 PCUIF_Components.ttcn:556 Message with id 7 was extracted from the queue of PCUIF. 08:56:32.408322 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O 08:56:32.408337 509 PCUIF_Components.ttcn:678 Message with id 10 was extracted from the queue of BTS. 08:56:32.408366 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A31800000B2B2B2B2B2B'O, confirm := false } 08:56:32.408407 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.408446 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.408450 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A31800000B2B2B2B2B2B'O 08:56:32.408506 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.408565 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.408601 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.408759 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.409033 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.409138 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:32.409401 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.409475 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:32.409545 mtc GPRS_Components.ttcn:1068 Message with id 5 was extracted from the queue of BTS. 08:56:32.409586 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.409840 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.409887 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.409917 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.159087. 08:56:32.409977 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.410023 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:32.410069 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.410126 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:32.410200 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.410256 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.410398 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:32.410570 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.410622 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.410660 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.410688 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.410717 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:32.410749 510 PCUIF_Components.ttcn:352 Message with id 5 was extracted from the queue of TC. 08:56:32.410782 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.411002 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 11 08:56:32.411163 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.411235 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 11 08:56:32.411294 509 PCUIF_Components.ttcn:678 Message with id 11 was extracted from the queue of BTS. 08:56:32.411395 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.411451 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.411542 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.411972 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.412008 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } 08:56:32.412018 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.412041 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.412167 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:32.412364 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.412403 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:32.412434 510 PCUIF_Components.ttcn:444 Message with id 5 was extracted from the queue of CLCK. 08:56:32.412480 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:32.412704 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 12 08:56:32.412858 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.412925 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 12 08:56:32.412983 509 PCUIF_Components.ttcn:678 Message with id 12 was extracted from the queue of BTS. 08:56:32.413067 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } }, id := 0 } 08:56:32.413121 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:32.413224 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.413669 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.415244 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 9 08:56:32.415408 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.415810 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.415974 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:32.416046 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.416113 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:32.416173 509 PCUIF_Components.ttcn:665 Message with id 9 was extracted from the queue of PCU. 08:56:32.416239 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.416465 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:32.416633 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.416679 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.416701 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.416705 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.416748 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.416797 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.416833 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.416870 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:32.416902 510 PCUIF_Components.ttcn:556 Message with id 8 was extracted from the queue of PCUIF. 08:56:32.416931 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O 08:56:32.416976 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A42000000B2B2B2B2B2B'O, confirm := false } 08:56:32.417038 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.417089 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A42000000B2B2B2B2B2B'O 08:56:32.417195 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.417370 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.417686 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:32.417998 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.418083 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:32.418137 mtc GPRS_Components.ttcn:1068 Message with id 6 was extracted from the queue of BTS. 08:56:32.418184 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.418534 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.418591 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.418626 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.841366. 08:56:32.418691 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '110'B } } 08:56:32.418744 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111000000'B 08:56:32.418797 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (686): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '110'B } } 08:56:32.418861 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=686, TA=0 08:56:32.418947 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.419013 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.419190 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.419370 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.419450 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.419493 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.419525 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.419558 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:32.419590 510 PCUIF_Components.ttcn:352 Message with id 6 was extracted from the queue of TC. 08:56:32.419626 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.419832 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:32.419990 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.420062 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:32.420121 509 PCUIF_Components.ttcn:678 Message with id 13 was extracted from the queue of BTS. 08:56:32.420223 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.420280 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.420399 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AE0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.420847 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AE0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.421343 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.421375 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.423815 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 10 08:56:32.423979 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.424390 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.424553 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:32.424626 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.424691 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:32.424751 509 PCUIF_Components.ttcn:665 Message with id 10 was extracted from the queue of PCU. 08:56:32.424817 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.424934 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:32.424995 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.425042 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.425084 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.425122 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.425166 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.425200 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:32.425229 510 PCUIF_Components.ttcn:556 Message with id 9 was extracted from the queue of PCUIF. 08:56:32.425256 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O 08:56:32.425302 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000047252800000B2B2B2B2B2B'O, confirm := false } 08:56:32.425343 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.425382 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000047252800000B2B2B2B2B2B'O 08:56:32.425487 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.425670 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.426012 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.426043 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } 08:56:32.426043 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:32.426075 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.426105 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:32.426174 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.426205 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.426231 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:32.426257 510 PCUIF_Components.ttcn:460 Message with id 6 was extracted from the queue of CLCK. 08:56:32.426357 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.426368 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.426458 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047252800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:32.426537 mtc GPRS_Components.ttcn:1068 Message with id 7 was extracted from the queue of BTS. 08:56:32.426547 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:32.426587 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.426691 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.426763 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:32.426824 509 PCUIF_Components.ttcn:678 Message with id 14 was extracted from the queue of BTS. 08:56:32.426871 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.426925 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.426929 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.426959 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.199286. 08:56:32.427014 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.427026 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.427080 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:32.427118 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.427155 mtc PCU_Tests.ttcn:4431 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.427237 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:32.427322 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.427390 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.427397 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:32.427457 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.427499 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.427538 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.427568 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.427576 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.427597 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:32.427626 510 PCUIF_Components.ttcn:352 Message with id 7 was extracted from the queue of TC. 08:56:32.427657 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.427753 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:32.427839 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.427905 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:32.427963 509 PCUIF_Components.ttcn:678 Message with id 15 was extracted from the queue of BTS. 08:56:32.428065 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.428124 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.428216 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.428690 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.430715 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.430750 512 PCUIF_Components.ttcn:248 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } 08:56:32.430806 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.430876 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:32.431101 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.431145 510 PCUIF_Components.ttcn:460 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_END (4) unmatched: First message in the queue does not match the template: 08:56:32.431215 510 PCUIF_Components.ttcn:484 Matching on port CLCK succeeded: matched 08:56:32.431246 510 PCUIF_Components.ttcn:484 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:32.431277 510 PCUIF_Components.ttcn:484 Message with id 7 was extracted from the queue of CLCK. 08:56:32.431537 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 11 08:56:32.431731 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.432114 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.432274 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:32.432346 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.432412 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:32.432485 509 PCUIF_Components.ttcn:665 Message with id 11 was extracted from the queue of PCU. 08:56:32.432552 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.432679 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:32.432749 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.432797 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.432838 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.432875 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.432902 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.432929 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:32.432952 510 PCUIF_Components.ttcn:556 Message with id 10 was extracted from the queue of PCUIF. 08:56:32.432974 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O 08:56:32.433009 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A63000000B2B2B2B2B2B'O, confirm := false } 08:56:32.433051 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.433089 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A63000000B2B2B2B2B2B'O 08:56:32.433176 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.433322 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:32.433644 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:32.433905 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.433989 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:32.434065 mtc GPRS_Components.ttcn:1068 Message with id 8 was extracted from the queue of BTS. 08:56:32.434114 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:32.434437 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.434492 mtc PCU_Tests.ttcn:4439 setverdict(pass): pass -> pass, component reason not changed 08:56:32.434525 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:32.434576 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:32.434716 mtc PCU_Tests.ttcn:4590 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.434792 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:32.434806 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.434873 510 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:32.434932 510 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.434972 510 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:32.435004 510 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:32.435035 510 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:32.435063 510 PCUIF_Components.ttcn:352 Message with id 8 was extracted from the queue of TC. 08:56:32.435095 510 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.435299 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 16 08:56:32.435445 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.435465 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.435478 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } 08:56:32.435509 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.435534 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:32.435545 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 16 08:56:32.435593 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.435607 509 PCUIF_Components.ttcn:678 Message with id 16 was extracted from the queue of BTS. 08:56:32.435620 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:32.435648 510 PCUIF_Components.ttcn:444 Message with id 8 was extracted from the queue of CLCK. 08:56:32.435685 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:32.435714 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:32.435772 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:32.435864 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.436362 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.436509 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:32.436578 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.436630 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:32.436680 509 PCUIF_Components.ttcn:678 Message with id 17 was extracted from the queue of BTS. 08:56:32.436749 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } }, id := 0 } 08:56:32.436798 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:32.436863 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.437315 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.437763 509 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 12 08:56:32.437950 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.438412 509 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.438573 509 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:32.438616 509 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:32.438655 509 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:32.438687 509 PCUIF_Components.ttcn:665 Message with id 12 was extracted from the queue of PCU. 08:56:32.438727 509 PCUIF_Components.ttcn:667 Sent on BTS to BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:32.438797 510 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:32.438852 510 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:32.438897 510 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.438945 510 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:32.438981 510 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:32.439012 510 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:32.439045 510 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(509): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:32.439072 510 PCUIF_Components.ttcn:556 Message with id 11 was extracted from the queue of PCUIF. 08:56:32.439096 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O 08:56:32.439132 510 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B'O, confirm := false } 08:56:32.439168 510 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:32.439200 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B'O 08:56:32.439407 510 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:32.439635 510 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } 08:56:32.440002 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(510) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:32.440146 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.440179 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.440235 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:32.440314 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803A42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:32.440383 mtc GPRS_Components.ttcn:1068 Message with id 9 was extracted from the queue of BTS. 08:56:32.440423 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01001'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:32.440679 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:32.440763 mtc PCU_Tests.ttcn:4622 setverdict(pass): pass -> pass, component reason not changed 08:56:32.440915 mtc StatsD_Checker.ttcn:274 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } 08:56:32.441209 511 StatsD_Checker.ttcn:119 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } id 2 08:56:32.441309 511 StatsD_Checker.ttcn:120 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_reset. 08:56:32.441370 511 StatsD_Checker.ttcn:124 Matching on port STATSD_PROC succeeded: { expects := matched } 08:56:32.441493 511 StatsD_Checker.ttcn:124 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } } } id 1 08:56:32.441531 511 StatsD_Checker.ttcn:124 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:32.442221 511 StatsD_Checker.ttcn:190 Port STATS was cleared. 08:56:32.442261 511 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats report" 08:56:32.442394 511 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:32.443277 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E362E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E362E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c") } id 1 08:56:32.443611 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c" 08:56:32.444010 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444045 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.444069 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.444094 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444131 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.444155 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.444228 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444253 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.444290 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.444315 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.444394 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444418 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.444445 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.444468 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.444492 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444515 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.444538 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.444559 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444588 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.444646 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444671 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.444740 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444763 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.444786 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.444816 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.444826 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444847 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.444855 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.444879 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.444900 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.444932 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.444954 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.444979 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445001 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.445026 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.445047 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.445071 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445093 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.445117 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.445140 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.445161 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445188 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.445211 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.445232 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445253 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.445274 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445300 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.445321 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445341 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.445364 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.445385 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445412 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.445434 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.445455 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445475 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.445497 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.445518 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445543 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.445567 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.445588 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.445610 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445631 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.445653 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.445674 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.445695 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445721 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.445743 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.445764 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445789 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.445811 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445832 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.445852 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445873 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.445894 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.445915 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.445947 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.445969 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.445989 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446014 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.446035 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.446057 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446077 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.446100 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.446121 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.446143 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446163 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.446185 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.446207 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.446227 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446258 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.446279 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.446318 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446342 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.446362 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446383 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.446405 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446430 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.446454 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.446476 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446510 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.446533 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.446555 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446579 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.446601 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.446624 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446647 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.446671 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.446693 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.446716 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446739 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.446763 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.446784 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.446805 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446834 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.446856 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.446877 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446899 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.446921 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446943 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.446965 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.446987 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.447008 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.447030 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447052 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.447081 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.447102 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447125 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.447146 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.447168 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447190 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.447212 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.447233 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.447256 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447278 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.447301 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.447321 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.447342 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447364 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.447386 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.447407 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447435 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.447456 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447478 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.447499 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447521 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.447543 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.447564 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447587 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.447609 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.447630 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447660 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.447682 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.447704 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447726 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.447750 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.447771 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.447794 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447816 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.447840 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.447861 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.447882 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447904 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.447926 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.447947 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.447968 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.447990 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448018 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.448039 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448061 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.448083 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.448104 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448125 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.448147 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.448168 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448190 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.448212 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.448234 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448255 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.448278 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.448305 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.448328 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448350 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.448372 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.448393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.448414 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448436 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.448457 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.448478 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448500 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.448521 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448543 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.448564 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448592 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.448614 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.448635 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448657 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.448678 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.448700 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448722 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.448744 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.448766 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448788 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.448811 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.448833 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.448856 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448878 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.448901 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.448922 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.448943 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.448971 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.448993 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.449014 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449036 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.449057 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449079 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.449100 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449122 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.449144 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.449165 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449188 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.449210 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.449231 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449257 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.449471 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.449485 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.449495 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449518 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } 08:56:32.449519 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.449543 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.449554 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.449565 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.449590 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449603 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:32.449612 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.449638 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.449661 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.449683 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449705 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.449727 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.449739 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.449748 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449772 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.449776 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.449794 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449804 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:32.449817 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.449832 510 PCUIF_Components.ttcn:460 Message with id 9 was extracted from the queue of CLCK. 08:56:32.449840 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449870 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.449892 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.449913 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.449913 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.449938 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.449961 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.449982 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450004 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:32.450004 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.450032 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.450054 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.450056 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450080 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.450087 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:32.450103 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.450115 509 PCUIF_Components.ttcn:678 Message with id 18 was extracted from the queue of BTS. 08:56:32.450125 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.450149 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450179 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.450185 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.450201 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.450213 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.450223 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.450244 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450273 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.450280 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.450295 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.450332 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450354 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.450375 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450397 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.450419 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450440 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.450461 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.450483 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450505 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.450526 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.450550 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450572 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.450593 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.450616 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450643 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.450666 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.450671 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.450687 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.450711 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450733 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.450756 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.450777 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.450798 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450820 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.450841 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.450862 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450883 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.450904 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450926 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.450948 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.450969 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.450991 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.451013 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451040 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.451062 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.451085 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451106 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.451127 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.451150 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451172 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.451195 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.451216 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.451239 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451261 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.451283 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.451304 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.451325 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451346 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.451368 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.451389 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451410 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.451431 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451459 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.451480 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451501 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.451523 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.451544 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451565 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.451587 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.451608 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451627 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.451647 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.451666 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451685 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.451706 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.451726 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.451746 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451765 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.451786 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.451805 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.451824 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451848 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.451868 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.451887 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451906 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.451925 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451944 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.451963 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.451982 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.452002 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452021 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452040 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.452059 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.452079 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452098 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.452117 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452137 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452156 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.452177 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.452196 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.452216 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452241 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.452262 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.452281 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452299 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452318 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.452338 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.452356 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452376 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.452394 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452413 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.452431 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452448 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.452465 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452483 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452499 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.452517 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.452534 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452551 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.452568 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452585 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452605 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.452629 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.452646 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.452664 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452680 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.452698 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.452715 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452732 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452748 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.452766 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.452783 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452799 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.452817 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452834 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.452851 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452867 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.452884 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.452901 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452918 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.452935 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.452951 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.452971 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.452989 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453006 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453027 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.453045 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.453063 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.453081 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453098 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.453116 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.453133 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453149 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453166 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.453183 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.453200 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453218 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.453234 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453252 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.453269 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453285 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.453302 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453319 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453336 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.453353 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.453370 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453390 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.453407 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453424 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453447 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.453466 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.453482 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.453499 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453514 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.453531 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.453547 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453562 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453577 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.453592 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.453608 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453623 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.453639 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453655 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.453670 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453686 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.453701 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453716 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453732 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.453747 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.453763 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453779 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.453794 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453810 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453825 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.453841 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.453856 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.453873 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453896 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.453913 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.453929 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.453944 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.453963 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.453979 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.453994 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454009 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.454024 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454038 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.454053 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454067 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.454081 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454095 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454108 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.454123 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.454137 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454151 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.454166 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454180 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454192 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.454194 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.454213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.454219 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } 08:56:32.454227 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.454241 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.454242 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454258 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.454265 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:32.454273 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.454287 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454310 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454324 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.454328 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.454338 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.454347 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:32.454353 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454365 510 PCUIF_Components.ttcn:444 Message with id 10 was extracted from the queue of CLCK. 08:56:32.454373 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.454388 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454392 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:32.454402 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.454417 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454431 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.454434 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:32.454446 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454461 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454464 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.454475 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.454482 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:32.454490 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.454499 509 PCUIF_Components.ttcn:678 Message with id 19 was extracted from the queue of BTS. 08:56:32.454505 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454520 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.454525 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } }, id := 0 } 08:56:32.454535 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454542 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:32.454551 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454566 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.454568 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.454581 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.454595 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.454610 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454623 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.454638 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.454651 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454665 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454682 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.454697 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.454711 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454724 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.454740 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454754 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.454768 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454781 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.454796 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454807 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.454810 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454825 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.454845 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.454860 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454873 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.454886 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.454899 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454912 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.454926 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.454938 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.454952 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.454964 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.454978 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.454991 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455004 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455016 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.455029 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.455042 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455055 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.455068 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455081 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.455094 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455107 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.455120 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455133 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455153 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.455167 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.455182 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455195 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.455209 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455222 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455235 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.455250 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.455264 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.455278 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455291 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.455305 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.455318 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455332 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455345 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.455359 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.455372 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455385 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.455398 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455415 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.455428 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455441 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.455454 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455467 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455480 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.455493 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.455506 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455519 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.455532 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455546 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455559 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.455573 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.455586 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.455599 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455612 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.455626 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.455639 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455652 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455664 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.455677 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.455690 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455703 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.455716 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455728 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.455740 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455752 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.455765 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455776 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:32.455788 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.455800 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.455812 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.455824 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.455836 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455848 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.455860 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.455873 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.455885 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.455897 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.455909 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.455921 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.455933 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.455945 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.455957 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.455969 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.455981 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.455993 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.456004 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.456015 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.456027 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.456037 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.456048 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.456078 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.456090 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.456101 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.456117 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.456128 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.456139 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.456191 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:32.456432 511 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:32.456635 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E352E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E352E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E342E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E342E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373313A307C63'O ("TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c") } id 2 08:56:32.456666 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c" 08:56:32.456744 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456756 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.456768 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.456779 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456790 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.456801 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.456813 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456829 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.456841 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.456851 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.456862 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456873 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.456884 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.456894 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.456904 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456914 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.456925 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.456935 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456945 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.456956 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456969 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.456979 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.456990 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.457000 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457010 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457021 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.457031 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.457041 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457052 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.457062 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457072 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457085 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.457097 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457107 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457118 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457128 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.457139 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457159 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457169 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.457180 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457190 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457203 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.457213 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457223 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.457234 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457244 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.457254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457265 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457275 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.457285 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.457296 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457309 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.457320 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457330 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457341 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.457352 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457362 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457373 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457383 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.457394 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457404 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457414 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457425 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.457436 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457445 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457459 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.457469 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457480 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.457491 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457501 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.457511 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457521 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457531 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.457542 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.457552 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457566 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.457576 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457586 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457597 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.457608 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457618 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457628 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457638 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.457647 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457656 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457665 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457674 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.457683 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457692 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457704 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.457713 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457722 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.457732 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457740 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.457750 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457758 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457768 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.457777 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.457786 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457795 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.457804 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457816 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457825 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.457835 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457844 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457853 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457862 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.457872 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.457881 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457890 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457899 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.457909 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.457918 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457927 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.457936 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457948 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.457957 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457966 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.457975 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.457984 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.457994 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.458003 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.458012 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458021 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.458030 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458040 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458052 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.458062 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458071 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458081 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458091 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.458100 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458109 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458118 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458128 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.458137 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458146 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458155 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.458164 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458174 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.458183 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458195 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.458205 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458214 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458223 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.458233 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.458242 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458251 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.458260 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458270 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458280 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.458290 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458306 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458317 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458329 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.458339 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458347 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458357 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458366 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.458376 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458385 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458394 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.458403 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458413 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.458422 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458431 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.458440 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458450 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458461 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.458469 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.458477 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458485 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.458494 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458502 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458511 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.458519 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458527 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458536 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458545 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.458553 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458561 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458569 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458582 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.458590 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458598 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458606 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.458615 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458623 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.458631 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458640 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.458648 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458656 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458665 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.458673 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.458681 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458692 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.458700 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458709 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458717 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.458726 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458734 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458744 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458752 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.458761 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458768 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458776 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458785 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.458793 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458800 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458808 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.458816 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458826 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.458835 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458842 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.458850 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458858 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458866 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.458872 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.458874 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.458883 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458884 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.458892 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.458901 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458909 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458917 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.458926 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458934 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.458942 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458950 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.458958 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.458968 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.458976 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.458985 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.458993 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459001 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459010 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.459017 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459025 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.459033 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459041 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.459048 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459056 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459063 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.459071 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.459079 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459089 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.459096 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459104 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459112 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.459120 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459127 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459135 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459143 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.459151 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459158 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459165 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459173 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.459181 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459188 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459196 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.459203 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459211 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.459221 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459228 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.459236 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459243 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459251 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.459259 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.459266 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459274 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.459281 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459289 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459297 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.459305 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459312 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459320 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459328 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.459336 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459343 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459350 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459360 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.459368 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459375 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459383 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.459390 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459398 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.459406 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459414 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.459421 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459429 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459436 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.459444 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.459452 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459460 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.459467 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459475 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459485 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.459493 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459500 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459509 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459516 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.459524 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459532 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459539 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459547 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.459554 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459561 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459568 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.459575 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459582 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.459588 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459595 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.459602 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459609 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459616 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.459623 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.459630 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459640 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.459647 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459654 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459663 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.459670 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459677 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459684 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459691 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.459699 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459705 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459712 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459720 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.459727 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459734 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459741 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.459748 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459755 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.459762 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459771 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.459778 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459785 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459792 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.459799 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.459806 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459813 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.459820 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459828 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459836 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.459843 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459850 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459857 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459864 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.459871 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.459878 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459884 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459891 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.459898 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.459905 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459911 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.459918 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459926 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.459933 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459940 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.459946 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459953 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459960 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.459966 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.459973 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459979 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.459986 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.459993 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.459999 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460007 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460013 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460020 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460027 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460034 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460041 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460047 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460054 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460061 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460067 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460074 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460080 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460091 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.460098 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460105 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.460112 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460118 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460126 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.460132 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.460139 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460145 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.460151 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460158 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460164 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460171 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460177 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460184 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460190 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460197 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460203 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460209 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460215 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460222 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460228 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460234 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460240 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460249 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.460256 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460262 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.460269 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460275 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460281 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.460288 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.460294 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460300 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.460307 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460313 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460320 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460327 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460333 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460340 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460346 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460353 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460359 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460365 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460371 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460378 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460384 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460391 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460397 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460403 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.460410 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460418 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.460425 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460431 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460437 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.460444 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.460451 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460457 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.460464 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460470 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460477 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460484 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460490 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460497 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460504 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460511 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460517 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460524 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460530 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460537 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460543 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460549 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460555 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460562 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.460568 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460575 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.460581 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460587 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460594 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.460600 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.460608 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460615 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.460622 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460629 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460635 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460642 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460648 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460655 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460661 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460669 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460680 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460686 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460692 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460698 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460704 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460710 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460716 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.460722 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460728 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.460734 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460740 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460746 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.460751 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.460757 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460763 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.460768 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460774 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460780 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460786 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460792 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460798 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460803 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460812 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460818 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460823 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460829 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460835 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460840 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460846 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460856 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460861 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.460867 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460873 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.460878 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460884 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460890 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.460895 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.460901 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460906 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.460912 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460918 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460924 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.460930 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460936 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460945 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460950 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.460956 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.460962 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.460967 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460972 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.460978 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.460983 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.460989 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.460994 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461000 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.461005 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461011 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.461016 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461022 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461027 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.461034 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.461040 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461045 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.461051 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461057 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461062 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.461068 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.461074 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.461079 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461085 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.461091 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.461096 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461102 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461107 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.461113 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.461118 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461123 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.461129 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461135 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.461140 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461146 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.461152 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461158 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461163 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.461169 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.461174 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461181 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.461187 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461193 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461199 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.461205 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.461210 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.461216 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461222 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.461227 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.461233 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461238 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461243 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.461249 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.461254 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461260 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.461265 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461271 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.461277 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461282 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.461288 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461293 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:32.461299 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.461304 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.461311 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.461317 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.461322 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461328 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.461333 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.461339 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.461344 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.461350 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.461355 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.461361 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.461367 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.461372 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.461377 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.461383 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.461388 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.461394 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.461399 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.461404 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.461410 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.461416 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.461421 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.461428 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.461433 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.461439 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.461445 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.461450 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.461456 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.461461 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } 08:56:32.461530 511 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:32.461548 511 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 5 08:56:32.461576 511 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:32.461597 511 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:32.461604 511 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 5 08:56:32.461611 511 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of STATSVTY. 08:56:32.461619 511 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:32.461642 511 StatsD_Checker.ttcn:195 Start timer T_statsd: 5 s 08:56:32.461759 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.461798 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:32.461819 511 StatsD_Checker.ttcn:199 Message with id 1 was extracted from the queue of STATS. 08:56:32.461935 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.461965 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:32.461977 511 StatsD_Checker.ttcn:199 Message with id 2 was extracted from the queue of STATS. 08:56:32.462086 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E332E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E332E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E322E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E322E6D732E646C5F6374726C5F6D73675F73636865643A307C63'O ("TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c") } id 3 08:56:32.462099 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c" 08:56:32.462132 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462137 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462142 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462147 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462152 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462156 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462161 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462166 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462171 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462177 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462182 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462188 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462193 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462197 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462201 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462206 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462210 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462214 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462219 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462223 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462229 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462233 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462237 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462242 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462246 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462250 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462255 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462259 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462263 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462267 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462272 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462278 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462282 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462286 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462291 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462295 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462303 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462312 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462316 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462320 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462325 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462329 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462333 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462339 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462343 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462347 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462352 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462356 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462360 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462364 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462369 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462373 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462377 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462382 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462387 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462392 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462396 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462400 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462405 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462409 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462413 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462418 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462422 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462426 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462430 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462436 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462440 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462445 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462449 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462453 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462458 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462462 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462466 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462470 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462475 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462479 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462483 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462491 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462495 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462500 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462504 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462508 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462513 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462517 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462521 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462525 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462530 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462534 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462538 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462543 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462548 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462552 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462557 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462561 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462565 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462569 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462574 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462578 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462582 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462586 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462591 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462595 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462601 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462605 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462609 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462614 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462618 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462623 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462627 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462631 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462635 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462640 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462644 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462648 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462652 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462658 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462663 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462667 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462671 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462675 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462680 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462684 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462688 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462692 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462697 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462701 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462707 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462711 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462715 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462720 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462724 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462728 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462732 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462737 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462741 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462745 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462749 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462754 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462758 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462762 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462766 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462772 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462777 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462781 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462785 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462790 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462794 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462798 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462802 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462805 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462809 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462813 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462817 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462821 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462826 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462830 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462834 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462838 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462841 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462845 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462849 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462853 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462856 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462860 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462864 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462868 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462872 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462875 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462881 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462884 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.462888 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462892 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462896 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462899 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462903 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.462907 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462911 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462915 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462919 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.462923 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.462926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462930 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462934 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.462937 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.462942 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462946 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.462950 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462954 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.462957 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462961 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.462965 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462969 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462972 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.462976 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.462980 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462984 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.462987 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.462991 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.462996 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463000 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463004 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463008 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463012 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463016 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463019 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463023 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463027 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463030 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463034 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463038 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463042 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463046 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463049 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463054 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463058 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463062 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463066 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463069 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463074 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463078 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463081 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463085 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463089 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463092 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463096 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463100 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463104 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463107 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463111 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463114 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463120 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463123 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463127 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463130 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463134 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463138 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463141 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463145 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463152 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463156 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463160 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.463163 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463168 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463172 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463176 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463179 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463183 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463187 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463191 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463195 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463199 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463202 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463206 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463209 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463213 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463217 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463220 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463224 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463227 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463231 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463236 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463239 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463243 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463247 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463250 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.463254 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463258 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463261 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463265 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463269 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463272 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463276 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463280 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463283 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463287 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463290 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463294 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463299 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463302 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463306 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463310 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463313 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463317 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463320 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463324 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463327 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463331 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463335 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463338 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.463342 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463346 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463349 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463353 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463357 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463360 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463366 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463370 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463374 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463377 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463381 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463384 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463388 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463391 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463395 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463399 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463402 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463406 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463409 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463413 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463416 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463420 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463424 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463427 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.463431 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463436 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463439 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463443 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463447 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463451 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463454 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463458 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463462 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463465 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463469 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463472 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463476 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463480 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463483 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463487 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463490 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463494 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463498 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463508 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463508 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.463513 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463513 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.463516 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463520 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463523 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463527 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463532 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463536 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463540 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463543 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463547 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463550 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463554 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463558 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463561 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463565 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463568 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463572 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463575 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463579 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463582 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463586 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463591 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463594 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463598 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463602 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463605 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463609 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463612 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463616 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463619 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463623 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463628 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463631 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463635 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463638 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463642 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463645 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463649 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463652 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463655 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463659 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463663 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463666 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463671 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463674 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463678 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463681 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463684 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463688 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463691 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463694 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463698 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463701 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463704 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463708 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463711 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463714 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463718 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463721 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463725 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463728 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463731 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463735 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463738 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463741 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463744 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463748 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463752 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463755 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463759 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463762 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463765 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463769 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463772 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463775 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463779 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463782 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463785 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463788 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463792 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463795 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463798 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463802 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463805 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463808 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463812 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463815 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463818 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463821 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463825 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463828 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463831 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463834 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463839 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463842 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463846 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463849 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463852 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463856 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463859 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463863 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463866 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463869 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463873 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463876 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463880 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463883 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463887 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463891 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463895 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463898 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463901 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463904 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463908 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463911 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463914 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463918 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463921 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.463924 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463928 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.463932 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463935 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463939 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.463942 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.463945 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463949 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.463952 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463955 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463959 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.463962 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463965 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463969 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463972 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.463976 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.463979 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.463982 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463985 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.463989 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.463992 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.463995 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.463998 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464002 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464005 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464008 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464012 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464015 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464018 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464021 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.464025 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464028 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464031 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464035 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464039 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464042 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464046 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464049 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464052 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464056 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464059 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464062 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464066 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464069 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464072 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464075 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464079 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464082 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464085 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464089 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464092 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464096 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464099 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464102 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.464106 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464109 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464112 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464116 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464119 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464123 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464127 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464130 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464134 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464137 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464140 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464144 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464147 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464150 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464154 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464157 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464160 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464163 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464167 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464171 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464174 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464178 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464181 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464184 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.464188 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464191 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464194 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464198 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464201 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464205 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464208 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464212 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464215 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464218 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464222 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464225 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464228 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464231 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464235 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464238 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464241 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464244 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464248 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464251 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464257 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464261 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464264 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.464267 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464270 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464274 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464277 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464280 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464284 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464287 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464291 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464294 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464297 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464300 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464304 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464307 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464310 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464313 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464317 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464320 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464323 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464327 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464331 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464338 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:32.464342 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464346 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.464349 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.464352 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464356 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464359 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.464362 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464366 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464369 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464373 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.464376 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464379 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464382 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464386 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.464389 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464392 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.464395 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.464398 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.464402 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.464405 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464408 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.464411 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.464415 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.464418 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.464421 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.464424 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.464428 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.464431 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464434 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.464437 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } 08:56:32.464484 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:32.464492 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.464514 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:32.464523 511 StatsD_Checker.ttcn:199 Message with id 3 was extracted from the queue of STATS. 08:56:32.464593 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E312E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E312E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c") } id 4 08:56:32.464603 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c" 08:56:32.464626 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464629 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464633 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.464636 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464640 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464643 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464647 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464652 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464655 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464659 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464662 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464666 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464670 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464673 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464676 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464679 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464683 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464686 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464691 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464694 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464698 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464701 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464704 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464708 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464711 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464714 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464718 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.464721 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464726 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464729 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464733 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464736 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464740 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464743 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464746 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464750 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464753 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464756 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464760 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464763 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464766 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464770 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464774 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464778 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464781 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464785 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464788 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464792 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464795 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464798 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464802 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.464805 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464810 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464813 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464816 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464820 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464823 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464827 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464830 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464834 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464837 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464840 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464844 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464848 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464851 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464855 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464858 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464861 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464865 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464868 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464872 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464875 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464878 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464883 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464886 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.464889 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464893 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464896 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464900 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464903 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464906 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464910 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464913 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464917 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.464920 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464923 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464926 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464931 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.464934 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464937 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464941 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.464944 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464948 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.464951 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464954 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.464957 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464961 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464964 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.464968 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.464972 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464975 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.464978 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.464982 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464985 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.464989 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.464992 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.464995 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.464999 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465002 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465005 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465009 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465012 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465015 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465019 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465023 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465026 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465029 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465033 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465036 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465039 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465043 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465046 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465049 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465053 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465057 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465061 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465064 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465067 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465071 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465074 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465077 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465081 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465084 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465087 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465091 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465094 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465097 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465100 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465105 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465108 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465112 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465115 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465119 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465122 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465125 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465129 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465132 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465135 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465139 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465142 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465145 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465150 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465153 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465157 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465160 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465164 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465167 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465170 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465174 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465177 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465181 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465184 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465187 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465191 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465195 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465198 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465202 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465205 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465209 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465212 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465215 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465219 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465222 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465225 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465229 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465232 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465236 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465239 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465243 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465247 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465251 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465257 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465261 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465264 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465267 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465271 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465274 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465277 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465281 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465284 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465288 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465291 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465296 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465300 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465303 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465307 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465310 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465314 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465317 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465321 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465324 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465328 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465331 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465335 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465338 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465341 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465345 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465348 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465352 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465356 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465360 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465363 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465367 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465370 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465374 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465377 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465380 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465384 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465387 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465390 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465394 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465397 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465402 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465405 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465409 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465412 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465416 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465420 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465423 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465426 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465429 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465433 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465436 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465440 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465443 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465446 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465450 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465455 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465459 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465462 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465465 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465469 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465472 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465476 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465479 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465482 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465486 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465489 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465493 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465496 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465500 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465503 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465506 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465510 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465513 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465517 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465520 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465524 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465528 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465531 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465535 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465538 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465541 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465545 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465548 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465552 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465555 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465558 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465562 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465565 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465569 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465572 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465577 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465580 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465584 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465588 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465591 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465594 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465598 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465601 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465604 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465608 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465611 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465615 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465618 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465621 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465625 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465628 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465631 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465636 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465639 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465643 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465646 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465650 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465653 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465657 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465660 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465664 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465667 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465671 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465674 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465677 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465680 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465684 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465687 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465691 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465694 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465697 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465701 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465705 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465708 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465712 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465715 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465718 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.465722 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465725 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465728 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465732 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465735 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465739 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465743 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465746 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465750 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465753 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465757 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465760 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465764 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465767 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465770 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465775 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465778 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465782 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465785 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465788 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465792 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465795 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465799 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465802 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.465805 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465809 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465812 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465816 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465819 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465823 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465826 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465830 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465833 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465837 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465840 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465843 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465849 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465852 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465856 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465859 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465862 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465866 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465869 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465872 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465876 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465879 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465882 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465886 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.465889 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465892 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465896 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465899 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465902 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465906 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465909 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465913 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465917 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.465921 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465924 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465927 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465931 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.465934 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465937 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465940 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.465944 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465947 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.465950 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465954 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.465957 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465960 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465963 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.465967 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.465970 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465973 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.465976 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.465980 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465983 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.465986 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.465990 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.465993 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.465997 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466001 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466004 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466007 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466011 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466014 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466017 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466020 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466024 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466027 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466030 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466033 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466037 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466040 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466043 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466046 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.466050 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466053 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466056 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466059 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466063 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466066 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466069 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466073 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466077 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466081 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466084 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466087 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466090 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466094 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466097 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466100 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466103 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466107 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466110 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466113 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466117 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466120 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466124 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466127 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.466130 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466134 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466137 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466140 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466144 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466147 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466151 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466154 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466158 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466161 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466164 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466168 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466172 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466176 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466179 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466182 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466185 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466189 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466192 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466196 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466200 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466203 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466207 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466210 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466213 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466217 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466220 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466223 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466226 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466230 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466233 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466237 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466240 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466243 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466247 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466250 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466253 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466256 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466260 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466263 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466266 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466270 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466274 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466277 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466280 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466284 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466287 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466290 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466294 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466299 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466303 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466306 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466309 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466313 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466316 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466320 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466325 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466329 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466332 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466336 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466339 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466342 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466346 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466349 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466353 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466357 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466361 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466364 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466367 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466371 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466375 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466378 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466382 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466385 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466388 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466393 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466396 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466400 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466403 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466406 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466409 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466413 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466416 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466419 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466422 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466426 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466429 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466432 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466435 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466439 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466442 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466445 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466449 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466452 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466455 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466459 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466462 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466465 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466468 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466472 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466475 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466478 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466482 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466485 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466488 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466492 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466495 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466498 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466501 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466505 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466508 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466511 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466514 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466518 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466521 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466525 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466528 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466533 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466536 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466539 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466543 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466546 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466549 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466553 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466556 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466560 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466563 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466567 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466570 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466574 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466577 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466581 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466585 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466588 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466591 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466595 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466598 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466601 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466605 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466608 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466611 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466615 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:32.466618 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466621 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466624 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.466627 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466631 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466634 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.466637 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466641 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466644 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466648 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.466651 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466654 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466658 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466661 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.466664 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466667 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.466670 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.466674 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.466677 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.466680 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466683 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.466686 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.466689 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.466693 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.466696 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.466699 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.466702 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.466705 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466709 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.466712 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:32.466758 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:32.466767 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.466790 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:32.466797 511 StatsD_Checker.ttcn:199 Message with id 4 was extracted from the queue of STATS. 08:56:32.466866 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E302E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E302E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E7061636B6574732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E62797465732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E62797465732E6F75743A31327C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E756E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E646561643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E7265706C616365643A307C63'O ("TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c") } id 5 08:56:32.466877 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c" 08:56:32.466899 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466904 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466908 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466911 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466915 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.466918 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466921 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466925 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.466928 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466932 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466935 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466939 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.466943 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.466946 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466949 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466954 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.466957 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.466961 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466964 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.466968 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466971 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.466974 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466979 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.466982 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.466986 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466989 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.466992 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.466996 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.466999 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467002 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467006 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467009 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467013 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467016 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467019 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467024 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467028 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467031 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467034 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467038 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467041 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467044 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467048 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467051 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467055 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467058 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467063 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467067 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467070 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467074 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467077 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.467080 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467084 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467087 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467090 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467094 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467097 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467102 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467105 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467109 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467112 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467116 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467119 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467122 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467126 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467129 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467132 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467136 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467140 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467144 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467147 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467150 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467154 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467157 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467160 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.467164 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467167 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467171 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467174 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467179 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467182 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467185 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467189 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467192 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467196 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467199 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467202 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467206 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467209 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467213 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467216 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467219 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467224 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467228 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467231 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467234 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467238 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467241 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467244 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.467248 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467251 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467258 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467263 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467267 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467270 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467273 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467277 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467280 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467284 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467287 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467290 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467294 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467297 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467300 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467304 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467309 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467312 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467315 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467319 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467322 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467326 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467329 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:32.467332 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467336 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467339 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467343 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467346 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467350 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467353 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467357 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467361 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467365 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467368 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467371 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467375 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467378 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467382 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467385 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467389 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467392 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467395 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467400 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467404 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467407 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467410 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467413 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:32.467417 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467420 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467424 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467427 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467430 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467434 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467437 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467441 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467444 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467448 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467451 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467454 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467459 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467462 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467466 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467469 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467472 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467476 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467479 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467483 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467486 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467489 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467493 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467496 511 StatsD_CodecPort.ttcn:36 match_first result: 81 08:56:32.467500 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467510 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467513 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467517 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467520 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467524 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467527 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467531 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467534 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467538 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467541 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467544 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467548 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467551 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467554 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467558 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467561 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467565 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467569 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467573 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467576 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467579 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467583 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467586 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.467590 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467593 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467596 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467600 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467603 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467607 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467610 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467614 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467618 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467621 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467624 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467628 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467633 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467636 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467640 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467643 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467646 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467650 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467653 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467656 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467660 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467663 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467666 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467670 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:32.467673 511 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467676 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467680 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467684 511 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467687 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467691 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467694 511 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:32.467698 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467702 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467706 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467709 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467712 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467716 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467719 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467722 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467726 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467729 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467732 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467736 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467739 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467742 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467746 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467749 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467752 511 StatsD_CodecPort.ttcn:36 match_first result: 79 08:56:32.467755 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467759 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467762 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467765 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467770 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467773 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467777 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467780 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467783 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467787 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467790 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467793 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467796 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467800 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467803 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467806 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467809 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467813 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467816 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467820 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467823 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467826 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467830 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467833 511 StatsD_CodecPort.ttcn:36 match_first result: 72 08:56:32.467837 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467840 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467843 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467847 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467850 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467854 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467857 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467862 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467865 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467869 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467872 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467876 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467879 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467882 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467886 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467889 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467892 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467896 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467899 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467902 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467906 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467909 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467912 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467916 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:32.467919 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467923 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.467926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467929 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467934 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.467938 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467941 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467945 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467948 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.467951 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.467955 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467958 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467961 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.467965 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.467968 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467972 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.467975 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467979 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.467982 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467985 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.467989 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.467992 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.467995 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.467998 511 StatsD_CodecPort.ttcn:36 match_first result: 69 08:56:32.468002 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468005 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468008 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468012 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468015 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468018 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468022 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468025 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468028 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468032 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468035 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468038 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468041 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468045 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468048 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468051 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468054 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468058 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468061 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468064 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468068 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468071 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced:0|c 08:56:32.468074 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468077 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.468081 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.468084 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468087 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468090 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.468093 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468097 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468100 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468103 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.468107 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468110 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468113 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468116 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.468120 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468124 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.468127 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.468130 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.468133 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.468133 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.468136 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468140 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.468144 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.468147 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.468150 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.468153 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.468157 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.468159 512 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } 08:56:32.468160 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.468164 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468167 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.468171 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced", val := 0, mtype := "c", srate := omit } } 08:56:32.468171 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.468214 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced", val := 0, mtype := "c", srate := omit } } } id 5 08:56:32.468222 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } id 11 08:56:32.468223 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.468246 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.replaced", val := 0, mtype := "c", srate := omit } } } id 5 08:56:32.468253 511 StatsD_Checker.ttcn:199 Message with id 5 was extracted from the queue of STATS. 08:56:32.468263 510 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:32.468271 510 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:32.468276 510 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } id 11 08:56:32.468281 510 PCUIF_Components.ttcn:460 Message with id 11 was extracted from the queue of CLCK. 08:56:32.468296 510 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.468310 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E6E7365692D6368673A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E696E762D6E737663693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E696E762D6E7365693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E6C6F73742E72657365743A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E696E3A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E62797465732E696E3A307C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75743A31327C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E756E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E646561643A307C630A5454434E332E6E732E6E73652E313233342E7265706C616365643A307C630A5454434E332E6E732E6E73652E313233342E6E7365692D6368673A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E737663693A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E7365693A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E72657365743A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F63733A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F70733A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E696E3A307C63'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c\nTTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c") } id 6 08:56:32.468320 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c\nTTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c" 08:56:32.468343 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468348 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468352 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.468358 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468361 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468362 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 20 08:56:32.468365 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468368 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468372 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468376 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468379 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468383 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468385 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.468386 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468390 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468393 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 20 08:56:32.468393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468397 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468398 509 PCUIF_Components.ttcn:678 Message with id 20 was extracted from the queue of BTS. 08:56:32.468411 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:32.468416 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:32.468425 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468428 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.468429 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468432 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468436 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468439 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468442 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468446 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468449 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468453 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468456 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468461 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468464 511 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:32.468468 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468472 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468475 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468478 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468482 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468485 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468489 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468492 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468493 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.468496 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468500 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468503 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468507 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468511 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468514 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468517 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468521 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468524 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468528 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468531 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468535 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468538 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468542 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468546 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468550 511 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:32.468553 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468557 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468560 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468564 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468567 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468571 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468574 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468578 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468581 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468585 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468588 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468592 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468596 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468600 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468603 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468607 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468610 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468614 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468617 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468621 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468624 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468627 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468632 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468636 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:32.468639 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468643 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468646 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468650 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468653 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468657 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468660 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468665 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468668 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468672 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468679 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468682 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468685 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468689 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468693 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468697 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468700 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468703 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468707 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468710 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468713 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468717 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468720 511 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:32.468726 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468729 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468732 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468736 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468740 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468744 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468747 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468751 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468754 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468758 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468761 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468764 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468768 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468771 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468774 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468778 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468781 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468785 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468788 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468793 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468796 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468799 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468803 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468806 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.468810 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468813 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468816 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468820 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468823 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468827 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468830 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468834 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468837 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468841 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468844 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468848 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468852 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468856 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468859 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468863 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468866 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468869 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468873 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468876 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468880 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468883 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468886 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468890 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.468893 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468896 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468900 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468903 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468907 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468911 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468914 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468918 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468921 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.468925 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468928 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468931 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468934 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.468938 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.468941 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468944 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.468948 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468951 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.468955 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468958 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.468963 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468966 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468969 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.468973 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.468976 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468980 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.468983 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.468986 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.468990 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.468993 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.468997 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469000 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469004 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469007 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469010 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469014 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469017 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469020 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469024 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469028 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469031 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469035 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469038 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469041 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469045 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469048 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469052 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469055 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.469058 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469062 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469065 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469068 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469072 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469075 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469079 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469082 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469085 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469091 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469094 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469097 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469101 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469104 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469107 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469110 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469114 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469117 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469121 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469124 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469127 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469130 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469134 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469137 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.469141 511 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469144 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469147 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469151 511 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469155 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469159 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469162 511 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:32.469166 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469169 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469173 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469176 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469179 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469183 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469186 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469189 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469193 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469196 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469199 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469203 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469206 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469209 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469213 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469216 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469219 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.469223 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469227 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469230 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469233 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469237 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469240 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469243 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469247 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469250 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469253 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469257 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469260 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469263 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469266 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469270 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469273 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469276 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469280 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469283 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469287 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469290 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469295 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469298 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469301 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.469305 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469308 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469312 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469315 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469318 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469322 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469325 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469329 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469332 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469336 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469339 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469342 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469346 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469349 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469352 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469356 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469359 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469362 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469366 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469370 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469374 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469377 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469381 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469384 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.469387 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469391 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469394 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469397 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469401 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469404 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469408 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469411 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469415 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469418 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469421 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469425 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469428 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469431 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469435 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469438 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469441 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469445 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469448 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469452 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469456 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469459 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469462 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469466 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.469469 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469474 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469478 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469481 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469484 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469488 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469491 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469495 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469498 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469502 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469505 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469508 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469512 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469515 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469518 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469522 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469525 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469528 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469532 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469536 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469539 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469543 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469546 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469549 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.469552 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469556 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469559 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469562 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469566 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469569 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469572 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469576 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469579 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469583 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469586 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469589 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469592 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469596 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469599 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469602 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469605 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469609 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469612 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469615 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469618 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469622 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469626 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469629 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.469632 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469636 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469639 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469642 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469646 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469649 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469652 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469656 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469659 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469662 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469666 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469669 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469672 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469675 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469679 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469682 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469685 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469689 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469692 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469695 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469698 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469702 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469705 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469708 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.469711 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469716 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469719 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469722 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469725 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469729 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469732 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469736 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469739 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469742 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469746 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469749 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469752 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469755 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469759 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469762 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469765 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469768 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469772 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469775 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469778 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469782 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469785 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469788 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.469792 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469795 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469798 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469802 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469805 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469809 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469812 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469816 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469819 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469822 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469827 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469831 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469834 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469837 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469840 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469843 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469847 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469850 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469854 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469857 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469860 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469864 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469867 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469871 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.469874 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469877 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469881 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469884 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469887 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469891 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469894 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469898 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469901 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469905 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469908 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469911 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469915 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.469919 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469923 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469926 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.469930 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469933 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.469936 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469939 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.469943 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469947 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.reset:0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469951 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.469954 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.469957 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469961 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.469964 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469967 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469971 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.469974 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469977 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.469981 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469984 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.469988 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.469991 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.469994 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.469998 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470001 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470004 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470007 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470011 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470014 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470017 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470020 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470024 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470027 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470030 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470033 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.470037 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470040 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470043 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470046 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470050 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470053 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470056 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470060 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470063 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470066 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470070 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470073 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470076 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470079 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470083 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470087 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470090 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470093 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470097 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470100 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470103 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470106 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470109 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470113 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.470116 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470119 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470122 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470126 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470129 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470132 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470136 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470139 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470142 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470146 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470149 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470152 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470155 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470158 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470162 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470165 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470168 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470171 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470175 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470178 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470181 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470185 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c 08:56:32.470188 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470191 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.470194 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.470197 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470201 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470204 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.470207 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470211 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470214 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470217 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.470220 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470224 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470227 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470230 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.470234 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470237 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.470240 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.470243 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.470246 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.470250 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470253 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.470256 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.470259 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.470262 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.470267 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.470270 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.470273 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.470277 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470280 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.470283 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit } } 08:56:32.470333 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit } } } id 6 08:56:32.470352 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.470376 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit } } } id 6 08:56:32.470384 511 StatsD_Checker.ttcn:199 Message with id 6 was extracted from the queue of STATS. 08:56:32.470455 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E626C6F636B65643A307C630A5454434E332E62737367702E6273735F6374782E313233342E6469736361726465643A307C630A5454434E332E62737367702E6273735F6374782E313233342E7374617475733A307C630A5454434E332E6274732E302E706463682E616C6C5F616C6C6F63617465643A307C630A5454434E332E6274732E302E7462662E646C2E616C6C6F633A307C630A5454434E332E6274732E302E7462662E646C2E66726565643A307C630A5454434E332E6274732E302E7462662E646C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E756C2E616C6C6F633A377C630A5454434E332E6274732E302E7462662E756C2E66726565643A307C630A5454434E332E6274732E302E7462662E756C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E7265757365643A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D613A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D623A377C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65643A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7466693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7573663A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F636F6D62693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F617661696C3A307C630A5454434E332E6274732E302E726C632E73656E743A307C630A5454434E332E6274732E302E726C632E726573656E743A307C630A5454434E332E6274732E302E726C632E7265737461727465643A307C630A5454434E332E6274732E302E726C632E7374616C6C65643A307C630A5454434E332E6274732E302E726C632E6E61636B65643A307C630A5454434E332E6274732E302E726C632E66696E616C5F626C6F636B5F726573656E743A307C630A5454434E332E6274732E302E726C632E6173732E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6173732E6661696C65643A307C63'O ("TTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c\nTTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c") } id 7 08:56:32.470466 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c\nTTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c" 08:56:32.470489 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470494 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470498 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.470501 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470505 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470508 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470512 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470515 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470519 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470522 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470526 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470530 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470534 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470537 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470541 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470544 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470547 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470551 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470554 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470558 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470561 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470564 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470569 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470572 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470576 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470579 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470582 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.470586 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470589 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470592 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470596 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470599 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470602 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470606 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470609 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470614 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470617 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470621 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470624 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470627 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470631 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470634 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470637 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470641 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470644 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470649 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470652 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470655 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470659 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470662 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470665 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.470668 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470672 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470675 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470678 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470684 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470687 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470691 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470694 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470698 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470701 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470705 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470708 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470711 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470715 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470718 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470721 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470724 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470729 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470733 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470736 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470739 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470743 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470746 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470750 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.470753 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470756 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470760 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470763 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470768 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470771 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470774 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470778 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470782 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470785 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470788 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470792 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470795 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470798 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470802 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470805 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470808 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470813 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470816 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470820 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470823 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470826 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470830 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470833 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.470836 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470840 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470843 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470846 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470851 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470854 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470858 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470861 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470865 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470868 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470871 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470875 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470878 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470881 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470884 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470888 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470891 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470896 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470899 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470902 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470906 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470909 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470912 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.470916 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.470919 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470923 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.470926 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470929 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470933 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.470936 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470939 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470944 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470948 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.470951 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.470954 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470958 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470961 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.470964 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.470968 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470971 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.470975 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470978 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.470981 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470986 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.470989 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.470993 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.470996 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471000 511 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:32.471003 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471006 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471010 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471013 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471017 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471020 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471023 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471027 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471032 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471036 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471039 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471042 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471046 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471049 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471052 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471056 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471059 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471063 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471066 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471070 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471073 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471076 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471081 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471084 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.471088 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471091 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471095 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471098 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471102 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471105 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471108 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471112 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471116 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471119 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471123 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471126 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471130 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471134 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471137 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471141 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471144 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471148 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471151 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471154 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471158 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471161 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471165 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471168 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.471171 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471176 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471180 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471183 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471187 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471190 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471193 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471197 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471201 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471204 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471208 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471211 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471214 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471218 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471221 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471225 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471228 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471233 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471236 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471240 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471243 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471247 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471250 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471253 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.471257 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471260 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471264 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471267 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471271 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471274 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471278 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471281 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471286 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471290 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471293 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471296 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471300 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471303 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471307 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471310 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471313 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471317 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471320 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471324 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471327 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471330 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471335 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471339 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.471342 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471345 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471349 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471352 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471356 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471359 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471362 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471366 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471370 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471374 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471377 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471380 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471384 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471387 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471390 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471395 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471399 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471402 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471405 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471409 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471412 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471415 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471419 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471422 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.471426 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471429 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471433 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471436 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471446 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471450 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471453 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471457 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471460 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471464 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471467 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471471 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471474 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471477 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471481 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471484 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471488 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471491 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471495 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471498 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471501 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471506 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471509 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471513 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.471516 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471520 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471523 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471527 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471530 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471534 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471537 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471540 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471544 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471547 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471551 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471554 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471558 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471561 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471564 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471569 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471572 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471576 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471579 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471583 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471586 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471589 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471593 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471596 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.471600 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471603 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471607 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471610 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471613 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471617 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471620 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471624 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471628 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471632 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471635 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471638 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471642 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471645 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471648 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471652 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471655 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471659 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471662 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471666 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471672 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471676 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471679 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.471684 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471688 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471691 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471695 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471698 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471701 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471705 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471708 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471712 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471715 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471718 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471722 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471725 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471728 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471732 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471735 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471738 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471742 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471745 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471750 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471753 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471757 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471760 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471763 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.471767 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471770 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471774 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471777 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471781 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471784 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471787 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471791 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471794 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471798 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471801 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471804 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471808 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471811 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471814 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471819 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471822 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471826 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471829 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471832 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471836 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471839 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471843 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471846 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.471849 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471853 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471856 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471860 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471863 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471867 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471870 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471874 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471879 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471882 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471886 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471889 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471892 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471896 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471899 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471902 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471906 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471909 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471912 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471915 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.471919 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471922 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471925 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.471929 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.471932 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471936 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.471939 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471942 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471947 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.471950 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471954 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471957 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471961 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.471964 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.471968 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.471971 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471974 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.471978 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.471981 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471984 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.471988 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471991 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.471996 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.471999 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472003 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472006 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472010 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472013 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.472016 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472020 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472023 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472026 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472031 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472035 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472038 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472042 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472045 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472049 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472052 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472055 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472059 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472062 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472066 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472069 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472072 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472076 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472079 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472082 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472086 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472089 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472092 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472096 511 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:32.472099 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472102 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472106 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472110 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472114 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472117 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472121 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472124 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472127 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472131 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472134 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472137 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472141 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472144 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472148 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472151 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472154 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472157 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472161 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472164 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472168 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472171 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472174 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472178 511 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:32.472181 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472184 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472188 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472191 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472196 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472200 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472203 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472207 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472210 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472217 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472220 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472223 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472227 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472230 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472233 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472237 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472240 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472244 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472247 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472250 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472253 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472257 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472260 511 StatsD_CodecPort.ttcn:36 match_first result: 20 08:56:32.472263 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472267 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472270 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472274 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472277 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472281 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472284 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472287 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472291 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472294 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472299 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472302 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472305 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472308 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472312 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472315 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472318 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472322 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472325 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472328 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472332 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472335 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472339 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472342 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.472345 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472349 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472352 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472355 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472359 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472362 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472366 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472369 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472373 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472376 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472379 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472383 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472386 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472389 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472393 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472396 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472399 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472404 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472407 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472410 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472414 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472417 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472420 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472424 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.472427 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472430 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472434 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472437 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472441 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472444 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472448 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472451 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472455 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472458 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472461 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472465 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472468 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472471 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472475 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472478 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472481 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472485 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472488 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472491 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472495 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472498 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472501 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472505 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:32.472508 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472511 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472514 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472518 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472522 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472526 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472529 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472533 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472536 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472539 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472543 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472546 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472549 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472552 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472556 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472559 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472562 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472566 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472569 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472572 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472576 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472579 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.nacked:0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472582 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472585 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.472589 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472592 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472595 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472598 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472602 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472605 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472608 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472612 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472615 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472619 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472622 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472625 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472628 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472632 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472635 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472638 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472641 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472645 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472648 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472653 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472656 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472659 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472662 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472666 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.472669 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472672 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472676 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472679 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472682 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472686 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472689 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472692 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472696 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472699 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472703 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472706 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472709 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472713 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472716 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472719 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472722 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472726 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472729 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472733 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472736 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472740 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472743 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472747 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.472750 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472754 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472758 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472762 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472765 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472769 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472772 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472776 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472779 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472783 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472786 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472789 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472791 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.472793 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472796 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472799 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472803 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.472806 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472810 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.472813 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472817 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472818 512 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(510) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } 08:56:32.472820 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472825 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.failed:0|c 08:56:32.472828 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.472830 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.472832 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.472835 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.472838 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.472842 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472845 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.472848 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.472852 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472855 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.472859 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.472862 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.472865 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.472869 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.472872 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.472875 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472878 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.472882 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.472885 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.472887 510 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(512) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } id 12 08:56:32.472888 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.472891 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.472895 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.472898 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.472902 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.472905 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.472908 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.472912 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.472915 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.472918 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.472922 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.472925 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit } } 08:56:32.472927 510 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:32.472934 510 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(512): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } id 12 08:56:32.472939 510 PCUIF_Components.ttcn:444 Message with id 12 was extracted from the queue of CLCK. 08:56:32.472947 510 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(509) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } 08:56:32.472975 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit } } } id 7 08:56:32.472983 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.473006 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit } } } id 7 08:56:32.473011 509 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(510) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } id 21 08:56:32.473015 511 StatsD_Checker.ttcn:199 Message with id 7 was extracted from the queue of STATS. 08:56:32.473034 509 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:32.473041 509 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(510): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } id 21 08:56:32.473046 509 PCUIF_Components.ttcn:678 Message with id 21 was extracted from the queue of BTS. 08:56:32.473056 509 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } }, id := 0 } 08:56:32.473061 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 21 } } } 08:56:32.473069 509 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000015000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:32.473090 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E726C632E61636B2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E61636B2E6661696C65643A307C630A5454434E332E6274732E302E726C632E72656C2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6C6174652D626C6F636B3A307C630A5454434E332E6274732E302E726C632E73656E742D64756D6D793A307C630A5454434E332E6274732E302E726C632E73656E742D636F6E74726F6C3A307C630A5454434E332E6274732E302E726C632E646C5F62797465733A307C630A5454434E332E6274732E302E726C632E646C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E6465636F64652E6572726F72733A307C630A5454434E332E6274732E302E7362612E616C6C6F63617465643A307C630A5454434E332E6274732E302E7362612E66726565643A307C630A5454434E332E6274732E302E7362612E74696D65646F75743A307C630A5454434E332E6274732E302E6C6C632E74696D656F75743A307C630A5454434E332E6274732E302E6C6C632E64726F707065643A307C630A5454434E332E6274732E302E6C6C632E7363686564756C65643A307C630A5454434E332E6274732E302E6C6C632E646C5F62797465733A307C630A5454434E332E6274732E302E6C6C632E756C5F62797465733A307C630A5454434E332E6274732E302E7063682E72657175657374733A307C630A5454434E332E6274732E302E7063682E72657175657374732E616C72656164793A307C630A5454434E332E6274732E302E7063682E72657175657374732E74696D656F75743A307C630A5454434E332E6274732E302E726163682E72657175657374733A387C630A5454434E332E6274732E302E726163682E72657175657374732E31316269743A387C630A5454434E332E6274732E302E726163682E72657175657374732E6F6E655F70686173653A387C630A5454434E332E6274732E302E726163682E72657175657374732E74776F5F70686173653A307C630A5454434E332E6274732E302E726163682E72657175657374732E756E65787065637465643A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F7365636F6E645F7365676D656E743A307C63'O ("TTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c\nTTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c") } id 8 08:56:32.473101 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c\nTTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c" 08:56:32.473124 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473128 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473132 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.473135 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473136 509 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000015000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:32.473140 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473143 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473147 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473151 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473154 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473158 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473161 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473165 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473169 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473172 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473175 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473179 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473182 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473185 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473196 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473200 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473204 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473207 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473210 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473214 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473217 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473221 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473224 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.473227 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473233 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473236 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473240 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473243 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473247 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473250 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473254 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473257 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473261 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473264 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473267 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473272 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473275 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473279 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473282 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473285 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473289 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473292 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473296 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473299 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473303 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473307 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473311 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.473314 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473318 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473321 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473325 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473328 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473332 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473335 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473339 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473342 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473346 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473349 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473353 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473357 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473361 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473364 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473368 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473371 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473375 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473378 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473381 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473385 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473388 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473393 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473396 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.473399 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473403 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473406 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473410 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473413 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473417 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473420 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473424 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473427 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473431 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473434 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473438 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473442 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473446 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473449 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473452 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473456 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473459 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473463 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473466 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473470 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473473 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473477 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473481 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.473484 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473488 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473491 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473495 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473498 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473502 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473505 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473508 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473512 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473516 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473519 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473522 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473527 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473530 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473533 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473537 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473540 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473544 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473547 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473551 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473554 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473557 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473562 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473566 511 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:32.473569 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473572 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473576 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473579 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473583 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473586 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473590 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473593 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473597 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473601 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473604 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473607 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473611 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473616 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473619 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473623 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473626 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473629 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473633 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473636 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473640 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473643 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473647 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473650 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.473653 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473658 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473661 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473666 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473669 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473673 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473676 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473680 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473683 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473687 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473690 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473693 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473697 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473700 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473703 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473708 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473711 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473715 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473718 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473721 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473725 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473728 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473732 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473735 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.473738 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473742 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473745 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473749 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473754 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473757 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473761 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473764 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473768 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473771 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473774 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473777 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473781 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473784 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473787 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473791 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473794 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473799 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473802 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473805 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473809 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473812 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473815 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473819 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.473822 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473826 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473829 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473832 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473836 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473839 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473843 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473846 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473851 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473855 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473858 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473861 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473865 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473868 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473871 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473875 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473878 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473881 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473885 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473888 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473891 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473895 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473900 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473903 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.473907 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473910 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473913 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473917 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473920 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.473924 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473927 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473931 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473934 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.473938 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.473941 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473944 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473948 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.473951 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.473955 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473959 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.473962 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473966 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.473969 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473972 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.473976 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473979 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473982 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.473986 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.473989 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.473992 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.473996 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.473999 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474004 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474007 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474011 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474014 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474018 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474022 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474025 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474028 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474032 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474035 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474038 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474042 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474045 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474048 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474052 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474056 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474059 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474063 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474066 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474069 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.474073 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474076 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474079 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474083 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474086 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474090 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474093 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474096 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474100 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474104 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474107 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474110 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474114 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474118 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474121 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474124 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474128 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474131 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474135 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474138 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474141 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474145 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474148 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474151 511 StatsD_CodecPort.ttcn:36 match_first result: 21 08:56:32.474155 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474158 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474162 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474166 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474170 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474173 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474176 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474180 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474184 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474187 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474191 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474194 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474197 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474201 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474204 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474207 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474211 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474214 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474217 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474222 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474225 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474229 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474232 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474235 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.474239 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474242 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474246 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474249 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474252 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474256 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474259 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474263 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474266 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474270 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474273 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474276 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474280 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474283 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474287 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474291 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474294 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474299 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474303 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474306 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474309 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474313 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474316 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474319 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:32.474323 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474327 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474330 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474333 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474337 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474340 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474344 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474350 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474353 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474357 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474360 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474363 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474366 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474370 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474373 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474376 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474380 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474383 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474386 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474390 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474396 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474400 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474403 511 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:32.474406 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474411 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474414 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474418 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474421 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474425 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474428 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474432 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474435 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474439 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474442 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474445 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474449 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474452 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474455 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474459 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474462 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474466 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474469 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474473 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474477 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474480 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474483 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474487 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.474490 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474494 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474497 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474500 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474504 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474507 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474511 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474514 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474518 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474521 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474525 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474528 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474531 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474535 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474538 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474543 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474546 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474549 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474553 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474556 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474560 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474563 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474566 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474570 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.474573 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474576 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474580 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474583 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474587 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474590 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474594 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474597 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474600 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474604 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474607 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474611 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474615 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474618 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474622 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474625 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474628 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474632 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474635 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474639 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474642 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474645 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474650 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474653 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.474657 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474660 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474663 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474667 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474670 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474674 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474677 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474680 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474685 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474688 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474691 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474695 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474698 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474701 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474705 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474708 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474711 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474715 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474718 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474721 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474724 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474728 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474731 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474734 511 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:32.474737 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474741 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474744 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474747 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474751 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474756 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474759 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474763 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474766 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474769 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474772 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474776 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474779 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474782 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474785 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474789 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474792 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474795 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474798 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474802 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474805 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474808 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474811 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474815 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.474818 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474821 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474824 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474828 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474832 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474836 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474839 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474842 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474846 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474849 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474852 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474855 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474859 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474862 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474865 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474868 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474872 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474875 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474878 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474881 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474885 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474888 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474891 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474894 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.474897 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474901 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474904 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474907 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474910 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474914 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474918 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474922 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474925 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.474928 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474931 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474935 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474938 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.474941 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.474944 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474948 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.474951 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474954 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.474958 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474961 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.474964 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474967 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474970 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.474974 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.474977 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474980 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.474983 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.474987 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.474990 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.474994 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.474997 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475000 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475004 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475008 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475012 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475015 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475018 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475021 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475024 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475028 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475031 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475034 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475038 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475041 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475044 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475047 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475050 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475054 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.475057 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475060 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475063 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475067 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475070 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475073 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475077 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475080 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475084 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475087 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475090 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475094 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475097 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475100 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475103 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475108 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475111 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475114 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475118 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475121 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475124 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475128 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475131 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475134 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.475138 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475141 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475145 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475148 511 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475151 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475155 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475158 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475162 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475165 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475169 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475172 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475176 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475179 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475183 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475186 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475189 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475193 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475196 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475199 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475203 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475206 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475209 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475212 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475216 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.475220 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475224 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475227 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475230 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475234 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475237 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475240 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475244 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475247 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475250 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475257 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475260 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475263 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475267 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475270 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475273 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475276 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475280 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475283 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475287 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475290 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.unexpected:0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475294 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475298 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.475301 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475304 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475311 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475314 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475318 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475321 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475325 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475328 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475332 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475335 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475338 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475342 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475345 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475348 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475351 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475355 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475360 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475363 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475366 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475369 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475373 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475376 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475379 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.475382 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475385 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475389 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475392 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475395 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475399 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475402 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475406 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475409 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475412 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475416 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475419 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475422 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475425 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475428 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475432 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475435 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475438 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475442 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475445 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475448 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475451 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_second_segment:0|c 08:56:32.475454 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475458 511 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:32.475461 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.475464 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475467 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475471 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.475474 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475477 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475481 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475484 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.475487 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475490 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475494 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475497 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.475500 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475503 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.475506 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.475509 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.475513 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.475516 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475519 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.475523 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.475526 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.475529 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.475532 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.475536 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.475541 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.475544 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475547 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.475551 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit } } 08:56:32.475596 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit } } } id 8 08:56:32.475605 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.475628 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit } } } id 8 08:56:32.475635 511 StatsD_Checker.ttcn:199 Message with id 8 was extracted from the queue of STATS. 08:56:32.475703 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 } 08:56:32.475724 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 } 08:56:32.475735 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 } 08:56:32.475744 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 } 08:56:32.475753 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 } 08:56:32.475817 511 StatsD_Checker.ttcn:198 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E7370622E646F776E6C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F554C3A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E6F6E655F70686173653A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E74776F5F70686173653A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E636F6E74656E74696F6E5F7265736F6C7574696F6E5F737563636573733A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F72656A3A317C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F444C3A307C630A5454434E332E6274732E302E6368616E6E656C2E726571756573745F6465736372697074696F6E3A307C630A5454434E332E6274732E302E706B742E756C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E6163636573735F72656A6563743A307C630A5454434E332E6274732E302E706B742E646C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F6E6F74696669636174696F6E3A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F636F6E74696E75653A307C630A5454434E332E6274732E302E706B742E6E656967685F63656C6C5F646174613A307C630A5454434E332E6274732E302E756C2E636F6E74726F6C3A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F706F6C6C5F74696D656F75743A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F74696D656F75743A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F6661696C65643A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F6661696C65643A307C63'O ("TTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c") } id 9 08:56:32.475828 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c" 08:56:32.475851 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475854 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475858 511 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:32.475862 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475865 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475868 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475872 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475877 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475880 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475884 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475888 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475891 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475895 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475898 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475902 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475905 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475909 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475912 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475917 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.475920 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475923 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.475927 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475930 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.475934 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475937 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475940 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.475944 511 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:32.475947 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475952 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.475956 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475959 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475963 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.475966 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475969 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475973 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475977 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.475980 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.475983 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.475987 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.475990 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.475993 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.475997 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476001 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476005 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476008 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476011 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476015 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476018 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476021 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476025 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476028 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.476031 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476036 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476039 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476043 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476046 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476050 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476053 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476057 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476060 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476065 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476068 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476071 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476075 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476078 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476083 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476086 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476090 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476093 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476096 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476100 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476103 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476106 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476109 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476113 511 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:32.476116 511 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476121 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476124 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476127 511 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476131 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476134 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476137 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476141 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476144 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476148 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476151 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476154 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476158 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476161 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476165 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476169 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476172 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476176 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476179 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476182 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476186 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476189 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476192 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476195 511 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:32.476199 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476203 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476207 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476210 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476213 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476217 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476220 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476224 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476227 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476230 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476234 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476237 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476240 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476244 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476247 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476251 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476255 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476258 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476261 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476265 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476268 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476271 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476274 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476278 511 StatsD_CodecPort.ttcn:36 match_first result: 65 08:56:32.476281 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476284 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476288 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476291 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476296 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476299 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476303 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476306 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476310 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476313 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476316 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476320 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476323 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476326 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476330 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476333 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476336 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476341 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476344 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476348 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476351 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476354 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476357 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476361 511 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:32.476364 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476367 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476370 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476374 511 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476377 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476381 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476384 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476388 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476392 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476396 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476399 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476402 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476406 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476409 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476412 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476416 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476419 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476422 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476426 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476429 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476432 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476435 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476440 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476443 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.476446 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476450 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476453 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476457 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476460 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476463 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476467 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476470 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476474 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476477 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476480 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476484 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476487 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476490 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476493 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476498 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476501 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476505 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476508 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476512 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476515 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476519 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476522 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476525 511 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:32.476529 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476532 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476535 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476539 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476543 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476547 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476550 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476554 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476557 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476561 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476564 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476567 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476571 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476574 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476577 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476580 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476584 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476587 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476591 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476594 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476597 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476601 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476605 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476609 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.476612 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476616 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476619 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476622 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476626 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476629 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476633 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476636 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476640 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476643 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476646 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476650 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476653 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476657 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476660 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476666 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476669 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476673 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476676 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476679 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476683 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476686 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476690 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476693 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.476697 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476700 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476704 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476707 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476711 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476714 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476717 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476721 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476726 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476729 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476733 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476736 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476739 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476743 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476746 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476750 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476753 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476756 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476760 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476763 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476767 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476770 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476774 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476777 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.476780 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476785 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476789 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476792 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476796 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476799 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476803 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476806 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476810 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476814 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476817 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476820 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476824 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476827 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476830 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476834 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476837 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476840 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476844 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476847 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476850 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476854 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476858 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476862 511 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:32.476865 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476869 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476872 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476875 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476879 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476882 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476886 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476889 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476892 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476896 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476899 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476902 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476906 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476909 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476912 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476916 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.476919 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476923 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.476927 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476930 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.476933 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476936 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476940 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.476943 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.476946 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476950 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.476953 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476956 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476959 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.476963 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476966 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476970 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476973 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.476976 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.476980 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.476983 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.476986 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.476989 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.476992 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477003 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477006 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477009 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477013 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477016 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477019 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477022 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477025 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477029 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.477032 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477035 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477039 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477042 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477045 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477049 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477052 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477055 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477059 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477062 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477065 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477069 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477072 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477075 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477080 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477083 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477087 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477090 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477093 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477097 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477100 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477103 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477107 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477110 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.477113 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477117 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477120 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477124 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477127 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477130 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477134 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477137 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477140 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477144 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477148 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477151 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477154 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477159 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477162 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477166 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477169 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477172 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477176 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477179 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477182 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477186 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477189 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477192 511 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:32.477196 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477199 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477202 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477206 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477209 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477216 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477220 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477223 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477227 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477230 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477233 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477237 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477240 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477243 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477248 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477251 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477254 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477258 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477262 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477266 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477269 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477273 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477276 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.477279 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477283 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477286 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477289 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477292 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477296 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477299 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477303 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477306 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477310 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477313 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477316 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477320 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477323 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477326 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477329 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477333 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477337 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477341 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477344 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477347 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477350 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477354 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477357 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.477360 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477363 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477367 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477370 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477373 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477377 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477380 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477383 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477386 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477390 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477393 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477396 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477400 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477403 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477406 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477409 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477413 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477416 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477419 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477423 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477426 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477429 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477432 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477436 511 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:32.477439 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477443 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477447 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477450 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477451 512 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:32.477453 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477457 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477460 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477464 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477467 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477471 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477474 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477474 512 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:32.477477 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477480 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477484 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477487 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477490 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477493 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477497 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477500 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477503 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477506 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477510 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477513 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477516 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.477519 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477523 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477526 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477529 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477532 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477536 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477539 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477543 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477546 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477550 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477553 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477556 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477559 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477562 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477567 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477570 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477573 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477577 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477580 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477583 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477587 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477590 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477593 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477597 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.477600 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477603 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477607 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477610 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477614 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477617 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477621 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477624 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477627 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477631 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477634 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477637 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477641 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477644 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477647 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477650 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477653 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477657 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477660 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477663 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477666 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477670 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477673 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477676 511 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:32.477679 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477683 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477686 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477689 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477692 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477696 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477699 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477703 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477706 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477710 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477713 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477717 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477720 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477724 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477727 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477730 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.477734 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477737 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.477740 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477743 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477747 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477750 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c 08:56:32.477753 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.477756 511 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:32.477760 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:32.477763 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.477766 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477769 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:32.477772 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.477776 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477779 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.477783 511 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:32.477786 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.477789 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.477793 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.477796 511 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:32.477799 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477802 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.477806 511 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:32.477809 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.477812 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.477815 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.477819 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.477822 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.477825 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.477829 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.477832 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.477835 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.477839 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.477842 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.477845 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.477849 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit } } 08:56:32.477896 511 StatsD_Checker.ttcn:198 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:32.477904 511 StatsD_Checker.ttcn:199 Matching on port STATS succeeded: matched 08:56:32.477926 511 StatsD_Checker.ttcn:199 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:32.477935 511 StatsD_Checker.ttcn:199 Message with id 9 was extracted from the queue of STATS. 08:56:32.477944 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 } 08:56:32.477954 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 } 08:56:32.477964 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 } 08:56:32.477974 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 } 08:56:32.477985 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 } 08:56:32.477994 511 StatsD_Checker.ttcn:163 EXP match: { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit } vs { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } 08:56:32.478049 511 StatsD_Checker.ttcn:244 Stop timer T_statsd: 5 s 08:56:32.478081 511 StatsD_Checker.ttcn:126 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_expect : { } value true 08:56:32.478132 511 StatsD_Checker.ttcn:119 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373393A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373393A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F38385F31302E32333030302E616C6976652E64656C61793A307C67'O ("TTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g") } id 10 08:56:32.478144 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g" 08:56:32.478152 mtc StatsD_Checker.ttcn:274 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511) @StatsD_Checker.STATSD_expect : { } value true id 2 08:56:32.478167 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478172 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478175 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.478179 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478181 mtc StatsD_Checker.ttcn:275 Matching on port STATSD_PROC succeeded: { } with { } matched value true with ? matched 08:56:32.478182 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478186 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478189 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478190 mtc StatsD_Checker.ttcn:275 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): @StatsD_Checker.STATSD_expect : { } value true id 1 08:56:32.478193 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478197 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478200 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478201 mtc StatsD_Checker.ttcn:275 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:32.478204 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478208 mtc GPRS_Components.ttcn:215 setverdict(pass): pass -> pass, component reason not changed 08:56:32.478209 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478213 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478216 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478217 mtc GPRS_Components.ttcn:219 Sent on BTS to BTS(510) @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } 08:56:32.478220 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478224 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478227 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478230 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478234 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478237 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478240 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478244 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478248 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478252 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478255 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478258 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478262 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.478265 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478268 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478272 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478275 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478279 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478283 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478286 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478290 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478295 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478305 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478312 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478316 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478319 510 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:32.478320 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478323 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478327 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478330 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478335 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478338 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478341 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478345 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478348 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478352 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478355 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.478358 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478362 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478363 510 PCUIF_Components.ttcn:335 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.478365 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478369 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478370 510 PCUIF_Components.ttcn:343 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.478374 510 PCUIF_Components.ttcn:347 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.478378 510 PCUIF_Components.ttcn:352 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:32.478389 510 PCUIF_Components.ttcn:605 Matching on port TC succeeded: matched 08:56:32.478394 510 PCUIF_Components.ttcn:605 Receive operation on port TC succeeded, message from mtc: @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:32.478399 510 PCUIF_Components.ttcn:605 Message with id 9 was extracted from the queue of TC. 08:56:32.478400 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478403 510 PCUIF_Components.ttcn:606 Shutting down virtual BTS #0... 08:56:32.478404 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478408 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478412 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478415 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478417 510 PCUIF_Components.ttcn:607 Stopping PTC with component reference 512. 08:56:32.478419 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478422 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478426 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478429 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478432 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478436 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478439 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478442 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478448 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478451 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478455 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478458 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478461 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478465 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478468 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.478472 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478476 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478479 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478482 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478487 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478490 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478493 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478497 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478500 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478504 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478507 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478510 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478514 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478517 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478520 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478523 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478527 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478531 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478534 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478537 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478537 512 PCUIF_Components.ttcn:256 Stop was requested from MC. 08:56:32.478541 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478544 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478547 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478551 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.478554 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478557 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478559 512 PCUIF_Components.ttcn:256 Stopping test component execution. 08:56:32.478561 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478564 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478569 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478572 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478576 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478579 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478582 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478586 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478589 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478592 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478596 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478599 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478602 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478605 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478609 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478613 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478617 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478620 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478624 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478627 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478631 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478634 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.478637 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478640 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478644 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478647 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478652 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478656 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478659 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478662 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478666 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478669 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478672 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478675 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478679 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478682 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478685 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478689 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478692 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478697 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478700 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478703 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478703 512 - Function f_ClckGen_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:32.478708 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478711 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478715 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478718 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.478721 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478725 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478728 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478731 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478735 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478738 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478742 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478745 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478750 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478753 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478756 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478760 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478763 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478766 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478770 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478773 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478776 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478780 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478783 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478786 510 PCUIF_Components.ttcn:607 PTC with component reference 512 was stopped. 08:56:32.478787 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478791 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478794 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478798 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478801 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.478805 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478808 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478811 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478815 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478818 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478822 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478825 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478828 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478832 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478833 510 - Function f_BTS_CT_handler finished. PTC remains alive and is waiting for next start. 08:56:32.478835 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478838 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478842 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478847 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478850 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478853 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478857 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478860 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478864 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478867 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478870 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478873 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478877 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478881 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478884 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.478888 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478891 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478894 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478898 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478901 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478905 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478908 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478911 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478914 mtc GPRS_Components.ttcn:220 PTC with component reference 510 is done. 08:56:32.478915 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.478918 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478922 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478925 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478929 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.478932 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478936 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478936 mtc GPRS_Components.ttcn:223 Stopping all components. 08:56:32.478940 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.478944 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478947 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.478951 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478954 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.478957 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478961 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478964 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.478967 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.478970 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478974 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.478977 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.478980 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478985 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.478988 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.478992 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.478995 509 PCUIF_Components.ttcn:653 Stop was requested from MC. 08:56:32.478995 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.478999 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479002 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479006 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479009 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479012 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479015 509 PCUIF_Components.ttcn:653 Stopping test component execution. 08:56:32.479016 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479020 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479023 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479026 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479030 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479033 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479038 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479041 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479044 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479048 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479051 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.479054 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479058 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479061 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479065 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479068 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479071 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479075 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479078 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479082 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479085 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479089 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479092 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479097 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479100 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479103 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479107 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479110 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479113 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479117 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479120 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479123 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479127 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479130 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479131 509 - Function f_PCUIF_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:32.479133 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.479137 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479140 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479144 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479148 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479152 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479155 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479158 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479162 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479165 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479169 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479172 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479175 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479179 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479182 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479185 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479189 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479192 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479195 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479199 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479203 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479207 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479210 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479213 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479217 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.479220 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479223 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479227 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479230 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479233 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479237 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479240 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479244 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479247 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479250 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479254 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479257 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479260 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479264 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479268 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479271 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479275 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479278 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479281 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479285 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479288 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479291 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479295 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479298 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.479301 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479305 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479308 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479311 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479315 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479318 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479321 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479326 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479330 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479333 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479336 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479339 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479343 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479346 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479349 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479353 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479356 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479359 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479363 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479366 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479369 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479373 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479376 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479379 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.479382 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479392 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479396 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479399 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479402 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479406 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479409 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479413 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479416 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479419 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479423 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479426 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479429 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479433 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479436 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479439 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479443 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479446 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479450 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479455 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479458 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479462 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479465 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479468 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.479471 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479475 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479478 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479482 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479485 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479488 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479492 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479495 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479499 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479502 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479505 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479509 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479512 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479515 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479518 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479522 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479526 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479530 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479533 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479537 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479540 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479543 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479546 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479550 511 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:32.479553 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479557 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479560 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479563 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479567 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479570 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479574 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479577 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479580 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479584 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479587 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479591 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479595 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479598 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479602 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479605 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479608 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479612 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479615 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479618 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479622 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479625 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479628 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479632 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.479635 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479638 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479642 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479645 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479649 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479652 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479655 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479659 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479662 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479666 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479669 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479674 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479677 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479680 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479684 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479687 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479690 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479693 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479697 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479700 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479704 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479707 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479710 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479713 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.479717 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479720 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479723 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479727 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479730 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479734 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479737 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479741 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479744 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479749 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479752 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479755 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479758 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479762 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479765 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479768 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479771 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479775 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479778 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479781 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479785 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479788 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479791 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479795 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.479798 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479801 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479805 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479808 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479812 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479815 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479818 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479822 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479827 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479831 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479835 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479838 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479842 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479845 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479848 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479852 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479855 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479858 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479862 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479865 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479868 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479872 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479875 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479878 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.479881 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479885 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479888 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479891 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479895 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479898 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479901 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479905 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479908 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479911 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479915 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479918 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479922 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.479926 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479929 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479932 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.479935 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479939 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.479942 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479945 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.479948 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479951 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479955 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.479958 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.479961 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479964 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.479968 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479971 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479974 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.479978 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479981 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.479984 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.479988 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.479991 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.479994 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.479998 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480001 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480004 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480007 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480011 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480014 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480018 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480022 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480025 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480028 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480031 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480034 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480038 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.480041 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480044 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480047 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480050 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480054 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480057 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480060 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480064 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480067 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480071 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480074 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480077 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480080 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480083 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480087 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480090 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480093 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480096 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480100 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480103 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480106 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480109 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480112 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480116 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.480119 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480123 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480127 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480130 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480133 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480137 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480140 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480143 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480146 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480150 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480153 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480156 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480159 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480163 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480166 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480169 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480172 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480176 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480179 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480182 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480185 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480189 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480192 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480195 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.480198 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480201 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480205 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480208 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480211 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480215 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480218 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480221 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480225 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480228 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480231 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480235 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480238 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480241 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480244 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480249 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480252 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480255 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480258 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480261 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480265 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480268 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs9:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480271 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480274 511 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:32.480278 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480281 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480284 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480287 511 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480291 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480294 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480297 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480301 511 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480304 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480307 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480311 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480314 511 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480317 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480320 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480323 511 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480327 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480330 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480333 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480336 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480339 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480343 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480346 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay:0|g 08:56:32.480349 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480352 511 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:32.480356 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g 08:56:32.480359 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480362 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480365 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g 08:56:32.480368 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480372 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480375 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480378 511 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:32.480382 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480385 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480388 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480391 511 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:32.480394 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480398 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.480402 511 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:32.480405 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.480408 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.480412 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480415 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.480418 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.480421 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.480424 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.480428 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.480431 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.480434 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.480437 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480441 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.480444 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay", val := 0, mtype := "g", srate := omit } } 08:56:32.480490 511 StatsD_Checker.ttcn:119 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_88_10.23000.alive.delay", val := 0, mtype := "g", srate := omit } } } id 10 08:56:32.480509 511 StatsD_Checker.ttcn:119 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E62696E642E302E74785F6261636B6C6F675F6C656E6774683A307C670A5454434E332E6274732E302E6D732E70726573656E743A387C670A5454434E332E6274732E302E706463682E617661696C61626C653A317C670A5454434E332E6274732E302E706463682E6F636375706965643A317C670A5454434E332E6274732E302E706463682E6F636375706965642E677072733A307C670A5454434E332E6274732E302E706463682E6F636375706965642E65677072733A317C67'O ("TTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g") } id 11 08:56:32.480515 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g" 08:56:32.480522 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480525 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480529 511 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:32.480532 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480535 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480539 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480542 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480546 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480549 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480552 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480556 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480560 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480564 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480567 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480570 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480573 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480577 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480580 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480583 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480586 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480590 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480593 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480596 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480599 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480602 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480605 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480609 511 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:32.480612 511 StatsD_CodecPort.ttcn:36 match_begin data: :8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480615 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480618 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480622 511 StatsD_CodecPort.ttcn:36 match_first data: 8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480625 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480628 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480632 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480635 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480638 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480642 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480645 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480648 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480651 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480655 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480658 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480661 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480664 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480667 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480671 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480675 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480678 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480682 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480685 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480688 511 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:32.480691 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480694 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480698 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480701 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480704 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480708 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480711 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480715 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480718 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480721 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480724 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480728 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480731 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480734 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480737 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480741 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480744 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480747 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480751 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480754 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480757 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480761 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480764 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480767 511 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:32.480771 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480774 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480777 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480781 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480784 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480787 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480791 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480794 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480798 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480801 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480805 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480808 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480811 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480815 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480818 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480822 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480826 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480829 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480832 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480835 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480839 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480842 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480845 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480849 511 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:32.480852 511 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480855 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480859 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480862 511 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480865 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480869 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480872 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480875 511 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480879 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480882 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480885 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480889 511 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480892 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480895 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480898 511 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480901 511 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:32.480905 511 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480908 511 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:32.480911 511 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480915 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.480918 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480921 511 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:32.480924 511 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:32.480928 511 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:32.480931 511 StatsD_CodecPort.ttcn:36 match_begin data: :1|g 08:56:32.480934 511 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:32.480937 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480941 511 StatsD_CodecPort.ttcn:36 match_first data: 1|g 08:56:32.480944 511 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:32.480947 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480950 511 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:32.480954 511 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:32.480957 511 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:32.480960 511 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:32.480964 511 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:32.480967 511 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:32.480970 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480973 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.480977 511 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:32.480980 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.480983 511 StatsD_CodecPort.ttcn:36 match_first data: 08:56:32.480986 511 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:32.480990 511 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:32.480993 511 StatsD_CodecPort.ttcn:36 match_list data: 08:56:32.480996 511 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:32.480999 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.481004 511 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:32.481007 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.481011 511 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:32.481014 511 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:32.481017 511 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:32.481020 511 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } 08:56:32.481036 511 StatsD_Checker.ttcn:119 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.88.101", remPort := 33253, locName := "172.18.88.10", locPort := 8125, msg := { { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } } id 11 08:56:32.481043 511 StatsD_Checker.ttcn:119 Kill was requested from MC. 08:56:32.481047 511 StatsD_Checker.ttcn:119 Terminating test component execution. 08:56:32.481162 511 - Function main was stopped. PTC terminates. 08:56:32.481175 511 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 08:56:32.481179 511 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 08:56:32.481212 511 - Port STATSVTY was unmapped from system:STATSVTY. 08:56:32.481218 511 - Port STATSVTY was stopped. 08:56:32.481222 511 - Removing unterminated connection between port STATSD_PROC and mtc:STATSD_PROC. 08:56:32.481231 511 - Port STATSD_PROC was stopped. 08:56:32.481234 511 - Removing unterminated mapping between port STATS and system:STATS. 08:56:32.481283 mtc GPRS_Components.ttcn:223 Connection of port STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC was closed unexpectedly by the peer. 08:56:32.481306 mtc GPRS_Components.ttcn:223 Port STATSD_PROC was disconnected from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511):STATSD_PROC. 08:56:32.481329 511 - Port STATS was unmapped from system:STATS. 08:56:32.481336 511 - Message with id 10 was extracted from the queue of STATS. 08:56:32.481342 511 - Message with id 11 was extracted from the queue of STATS. 08:56:32.481345 511 - Port STATS was stopped. 08:56:32.481348 511 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.481353 511 - Final verdict of PTC: none 08:56:32.481380 511 - Disconnected from MC. 08:56:32.481390 511 - TTCN-3 Parallel Test Component finished. 08:56:32.481413 mtc GPRS_Components.ttcn:223 All components were stopped. 08:56:32.481435 mtc GPRS_Components.ttcn:224 Stopping test component execution. 08:56:32.481461 mtc PCU_Tests.ttcn:4756 Test case TC_egprs_pkt_chan_req_reject_exhaustion was stopped. 08:56:32.481466 mtc PCU_Tests.ttcn:4756 Terminating component type PCU_Tests.RAW_PCU_Test_CT. 08:56:32.481471 mtc PCU_Tests.ttcn:4756 Default with id 1 (altstep as_Tguard_RAW) was deactivated. 08:56:32.481475 mtc PCU_Tests.ttcn:4756 Stop timer g_T_guard: 60 s 08:56:32.481481 mtc PCU_Tests.ttcn:4756 Removing unterminated connection between port BTS and BTS(510):TC. 08:56:32.481500 mtc PCU_Tests.ttcn:4756 Port BTS was stopped. 08:56:32.481505 mtc PCU_Tests.ttcn:4756 Port IPA_CTRL was stopped. 08:56:32.481508 mtc PCU_Tests.ttcn:4756 Port STATSD_PROC was stopped. 08:56:32.481512 mtc PCU_Tests.ttcn:4756 Port PROC was stopped. 08:56:32.481515 mtc PCU_Tests.ttcn:4756 Port RIM was stopped. 08:56:32.481519 mtc PCU_Tests.ttcn:4756 Port BSSGP[0] was stopped. 08:56:32.481522 mtc PCU_Tests.ttcn:4756 Port BSSGP[1] was stopped. 08:56:32.481525 mtc PCU_Tests.ttcn:4756 Port BSSGP[2] was stopped. 08:56:32.481529 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[0] was stopped. 08:56:32.481532 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[1] was stopped. 08:56:32.481535 mtc PCU_Tests.ttcn:4756 Port BSSGP_SIG[2] was stopped. 08:56:32.481539 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[0] was stopped. 08:56:32.481542 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[1] was stopped. 08:56:32.481544 510 - Connection of port TC to mtc:BTS was closed unexpectedly by the peer. 08:56:32.481545 mtc PCU_Tests.ttcn:4756 Port BSSGP_GLOBAL[2] was stopped. 08:56:32.481549 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[0] was stopped. 08:56:32.481552 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[1] was stopped. 08:56:32.481556 mtc PCU_Tests.ttcn:4756 Port BSSGP_PROC[2] was stopped. 08:56:32.481559 mtc PCU_Tests.ttcn:4756 Removing unterminated mapping between port PCUVTY and system:PCUVTY. 08:56:32.481563 510 - Port TC was disconnected from mtc:BTS. 08:56:32.481580 mtc PCU_Tests.ttcn:4756 Port PCUVTY was unmapped from system:PCUVTY. 08:56:32.481586 mtc PCU_Tests.ttcn:4756 Port PCUVTY was stopped. 08:56:32.481590 mtc PCU_Tests.ttcn:4756 Component type PCU_Tests.RAW_PCU_Test_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.481594 mtc PCU_Tests.ttcn:4756 Waiting for PTCs to finish. 08:56:32.481607 509 - Kill was requested from MC. Terminating idle PTC. 08:56:32.481613 509 - Terminating component type PCUIF_Components.RAW_PCUIF_CT. 08:56:32.481618 509 - Removing unterminated mapping between port PCU and system:PCU. 08:56:32.481663 509 - Port PCU was unmapped from system:PCU. 08:56:32.481670 509 - Port PCU was stopped. 08:56:32.481674 509 - Removing unterminated connection between port BTS and BTS(510):PCUIF. 08:56:32.481674 510 - Kill was requested from MC. Terminating idle PTC. 08:56:32.481686 509 - Port BTS was stopped. 08:56:32.481686 512 - Kill was requested from MC. Terminating idle PTC. 08:56:32.481690 509 - Port MTC was stopped. 08:56:32.481693 509 - Component type PCUIF_Components.RAW_PCUIF_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.481694 510 - Terminating component type PCUIF_Components.RAW_PCU_BTS_CT. 08:56:32.481699 509 - Final verdict of PTC: pass 08:56:32.481700 510 - Removing unterminated connection between port CLCK and ClckGen-0(512):CLCK. 08:56:32.481714 509 - Disconnected from MC. 08:56:32.481716 512 - Terminating component type PCUIF_Components.RAW_PCU_ClckGen_CT. 08:56:32.481720 510 - Port CLCK was stopped. 08:56:32.481725 510 - Removing unterminated connection between port PCUIF and PCUIF(509):BTS. 08:56:32.481728 512 - Stop timer T_TDMAClock: 0.004615 s 08:56:32.481729 509 - TTCN-3 Parallel Test Component finished. 08:56:32.481734 510 - Port PCUIF was stopped. 08:56:32.481738 510 - Port TC was stopped. 08:56:32.481741 510 - Component type PCUIF_Components.RAW_PCU_BTS_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.481741 512 - Removing unterminated connection between port CLCK and BTS(510):CLCK. 08:56:32.481747 510 - Final verdict of PTC: none 08:56:32.481767 510 - Disconnected from MC. 08:56:32.481769 512 - Port CLCK was stopped. 08:56:32.481775 510 - TTCN-3 Parallel Test Component finished. 08:56:32.481779 512 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:32.481793 512 - Final verdict of PTC: none 08:56:32.481822 mtc PCU_Tests.ttcn:4756 Setting final verdict of the test case. 08:56:32.481825 512 - Disconnected from MC. 08:56:32.481827 mtc PCU_Tests.ttcn:4756 Local verdict of MTC: pass 08:56:32.481833 mtc PCU_Tests.ttcn:4756 Local verdict of PTC PCUIF(509): pass (pass -> pass) 08:56:32.481837 mtc PCU_Tests.ttcn:4756 Local verdict of PTC BTS(510): none (pass -> pass) 08:56:32.481841 mtc PCU_Tests.ttcn:4756 Local verdict of PTC TC_egprs_pkt_chan_req_reject_exhaustion-STATS(511): none (pass -> pass) 08:56:32.481843 512 - TTCN-3 Parallel Test Component finished. 08:56:32.481845 mtc PCU_Tests.ttcn:4756 Local verdict of PTC ClckGen-0(512): none (pass -> pass) 08:56:32.481850 mtc PCU_Tests.ttcn:4756 Test case TC_egprs_pkt_chan_req_reject_exhaustion finished. Verdict: pass 08:56:32.481858 mtc PCU_Tests.ttcn:4756 Starting external command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass'. 08:56:34.683237 mtc PCU_Tests.ttcn:4756 External command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass' was executed successfully (exit status: 0). 08:56:34.683295 mtc PCU_Tests.ttcn:2559 Switching to log file `PCU_Tests-TC_mo_ping_pong_with_ul_racap_egprs_only-3739f30a986a-mtc.log'