10:45:04.211740 mtc BSC_Tests.ttcn:10947 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc'. 10:45:05.220796 mtc BSC_Tests.ttcn:10947 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_no_msc' was executed successfully (exit status: 0). 10:45:05.221025 mtc BSC_Tests.ttcn:10947 Test case TC_no_msc started. 10:45:05.221095 mtc BSC_Tests.ttcn:10947 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_no_msc. 10:45:05.221314 mtc BSC_Tests.ttcn:10947 Port IPA_CTRL was started. 10:45:05.221362 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[0] was started. 10:45:05.221400 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[1] was started. 10:45:05.221436 mtc BSC_Tests.ttcn:10947 Port RSL_CCHAN[2] was started. 10:45:05.221471 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][0] was started. 10:45:05.221506 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][1] was started. 10:45:05.221541 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][2] was started. 10:45:05.221576 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[0][3] was started. 10:45:05.221611 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][0] was started. 10:45:05.221647 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][1] was started. 10:45:05.221683 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][2] was started. 10:45:05.221718 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[1][3] was started. 10:45:05.221753 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][0] was started. 10:45:05.221789 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][1] was started. 10:45:05.221825 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][2] was started. 10:45:05.221860 mtc BSC_Tests.ttcn:10947 Port IPA_RSL[2][3] was started. 10:45:05.221896 mtc BSC_Tests.ttcn:10947 Port IPA was started. 10:45:05.221931 mtc BSC_Tests.ttcn:10947 Port SCCPLITE_IPA_CTRL was started. 10:45:05.221966 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][0] was started. 10:45:05.222001 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][1] was started. 10:45:05.222037 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][2] was started. 10:45:05.222071 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[0][3] was started. 10:45:05.222106 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][0] was started. 10:45:05.222141 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][1] was started. 10:45:05.222175 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][2] was started. 10:45:05.222209 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[1][3] was started. 10:45:05.222244 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][0] was started. 10:45:05.222278 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][1] was started. 10:45:05.222339 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][2] was started. 10:45:05.222378 mtc BSC_Tests.ttcn:10947 Port IPA_CFG_PORT[2][3] was started. 10:45:05.222414 mtc BSC_Tests.ttcn:10947 Port BSCVTY was started. 10:45:05.222448 mtc BSC_Tests.ttcn:10947 Port BSSAP was started. 10:45:05.222483 mtc BSC_Tests.ttcn:10947 Port BSSAP_LE was started. 10:45:05.222518 mtc BSC_Tests.ttcn:10947 Component type BSC_Tests.test_CT was initialized. 10:45:05.222565 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 10:45:05.222622 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 10:45:05.222675 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 10:45:05.223908 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:05.224099 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 10:45:05.224426 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 10:45:05.224619 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:05.224694 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:05.224750 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:05.224895 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:05.225072 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.225156 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.225236 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.225282 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.225322 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.225361 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:05.225409 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:05.225460 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:05.225513 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:05.225711 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:05.225868 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.225995 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.226050 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:05.226098 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:05.226148 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.226212 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:05.226338 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.226967 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 10:45:05.227249 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.227390 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.227537 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.227602 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 10:45:05.227660 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 10:45:05.227715 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.227786 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 10:45:05.227927 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.228325 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 10:45:05.228513 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.228645 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.228790 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.228853 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 10:45:05.228907 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 10:45:05.228961 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.229024 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 10:45:05.229142 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.229517 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 10:45:05.229747 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.229885 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.230032 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.230094 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 10:45:05.230148 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:05.230202 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.230271 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 10:45:05.230430 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.230828 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 10:45:05.231051 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.231188 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.231331 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.231392 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 10:45:05.231447 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:05.231501 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.231564 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:05.231696 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.232022 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 10:45:05.232223 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.232362 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.232424 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 10:45:05.232479 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 10:45:05.232531 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.232597 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:05.232722 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.233047 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:05.233178 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.233288 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.233422 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.233482 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:05.233532 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:05.233584 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.233666 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:05.233769 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.234070 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 10:45:05.234191 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.234316 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.234645 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.234706 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 10:45:05.234741 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 10:45:05.234773 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.234811 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:05.234873 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.235249 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 10:45:05.235421 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.235505 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.235599 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.235633 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 10:45:05.235668 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 10:45:05.235701 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.235740 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:05.235840 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.236212 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 10:45:05.236417 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.236505 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.236598 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:05.236633 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 10:45:05.236666 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 10:45:05.236700 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.236738 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:05.236840 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.237084 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:05.237159 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.237221 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.237249 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:05.237303 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:05.237332 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.237366 mtc StatsD_Checker.ttcn:251 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:05.244625 1822 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.244676 1822 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: VirtMSC-STATS(1822), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 10:45:05.244686 1822 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.244709 1822 - Connected to MC. 10:45:05.244715 1822 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_no_msc. 10:45:05.244895 mtc StatsD_Checker.ttcn:251 PTC was created. Component reference: 1822, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 10:45:05.244917 mtc StatsD_Checker.ttcn:252 Starting function main("172.18.206.203", 8125) on component VirtMSC-STATS(1822). 10:45:05.245025 mtc StatsD_Checker.ttcn:252 Function was started. 10:45:05.245050 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 10:45:05.245070 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.245277 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 10:45:05.245313 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.245350 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.245356 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 10:45:05.245385 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 10:45:05.245416 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.245462 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 10:45:05.245559 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.245676 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 1" id 14 10:45:05.245705 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 10:45:05.245760 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.245812 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.245870 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:05.245906 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 1" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:05.245934 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 1" with ? matched 10:45:05.245960 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 1" id 14 10:45:05.245986 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 14 was extracted from the queue of BSCVTY. 10:45:05.246040 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.246098 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.246126 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 10:45:05.246152 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 10:45:05.246179 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.246207 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 10:45:05.246252 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.246747 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "% No such MSC: nr 2" id 16 10:45:05.246870 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 10:45:05.246997 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.247071 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:05.247131 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:05.247168 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "% No such MSC: nr 2" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:05.247197 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "% No such MSC: nr 2" with ? matched 10:45:05.247225 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "% No such MSC: nr 2" id 16 10:45:05.247256 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 10:45:05.247318 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.247380 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.247408 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 10:45:05.247435 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 10:45:05.247462 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.247612 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:05.248027 1822 - Port STATSVTY was started. 10:45:05.248092 1822 - Port STATSD_PROC was started. 10:45:05.248118 1822 - Port STATS was started. 10:45:05.248135 1822 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 10:45:05.248343 1822 - Starting function main("172.18.206.203", 8125). 10:45:05.248830 1822 StatsD_Checker.ttcn:103 Mapping port VirtMSC-STATS(1822):STATS to system:STATS. 10:45:05.249199 1822 StatsD_Checker.ttcn:103 Port STATS was mapped to system:STATS. 10:45:05.249576 1822 StatsD_Checker.ttcn:103 Map operation of VirtMSC-STATS(1822):STATS to system:STATS finished. 10:45:05.250125 1822 StatsD_Checker.ttcn:104 entering f__IPL4__PROVIDER__listen: 172.18.206.203:8125 / UDP 10:45:05.253000 1822 StatsD_Checker.ttcn:111 Mapping port VirtMSC-STATS(1822):STATSVTY to system:STATSVTY. 10:45:05.254634 1822 StatsD_Checker.ttcn:111 Message enqueued on STATSVTY from system integer : 2 id 1 10:45:05.254879 1822 StatsD_Checker.ttcn:111 Port STATSVTY was mapped to system:STATSVTY. 10:45:05.255118 1822 StatsD_Checker.ttcn:111 Map operation of VirtMSC-STATS(1822):STATSVTY to system:STATSVTY finished. 10:45:05.255589 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:05.255770 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:05.255814 1822 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:05.256151 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 10:45:05.256340 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.256451 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.256470 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.256482 1822 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.256494 1822 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.256531 1822 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 10:45:05.256642 1822 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 10:45:05.256687 1822 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 10:45:05.256714 1822 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 10:45:05.256879 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 10:45:05.257029 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.257081 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.257097 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:05.257126 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 10:45:05.257163 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.257200 1822 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 10:45:05.257238 1822 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:05.257519 1822 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 10:45:05.257546 1823 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.257642 1822 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:05.257698 1822 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:05.257717 1822 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 10:45:05.257736 1822 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 10:45:05.257751 1823 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_no_msc. 10:45:05.257753 1822 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:05.257952 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 1823, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 10:45:05.258039 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:05.260613 1823 - Component type SCCP_Emulation.SCCP_CT was initialized. 10:45:05.263933 1824 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.264007 1824 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: VirtMSC-RAN(1824), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 10:45:05.264023 1824 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.264058 1824 - Connected to MC. 10:45:05.264069 1824 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_no_msc. 10:45:05.264211 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 1824, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 10:45:05.264259 mtc RAN_Adapter.ttcnpp:117 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:05.265743 1824 - Port BSSAP was started. 10:45:05.265763 1824 - Port CLIENT was started. 10:45:05.265769 1824 - Port MGCP was started. 10:45:05.265774 1824 - Port CTRL was started. 10:45:05.265779 1824 - Port CTRL_CLIENT was started. 10:45:05.265784 1824 - Port PROC was started. 10:45:05.265789 1824 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 10:45:05.268047 1825 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.268097 1825 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: VirtMSC-IPA(1825), component type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. Version: 9.0.0. 10:45:05.268108 1825 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.268132 1825 - Connected to MC. 10:45:05.268140 1825 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:05.268263 mtc RAN_Adapter.ttcnpp:117 PTC was created. Component reference: 1825, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: VirtMSC-IPA. 10:45:05.268296 mtc RAN_Adapter.ttcnpp:118 Mapping port VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT. 10:45:05.269149 1825 - Port IPA_PORT was started. 10:45:05.269163 1825 - Port CFG_PORT was started. 10:45:05.269168 1825 - Port MTP3_SP_PORT was started. 10:45:05.269172 1825 - Port IPA_MGCP_PORT was started. 10:45:05.269176 1825 - Port IPA_RSL_PORT was started. 10:45:05.269180 1825 - Port IPA_OML_PORT was started. 10:45:05.269184 1825 - Port IPA_CTRL_PORT was started. 10:45:05.269188 1825 - Port IPA_SP_PORT was started. 10:45:05.269192 1825 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:05.269219 1825 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:05.269361 mtc RAN_Adapter.ttcnpp:118 Map operation of VirtMSC-IPA(1825):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:05.269395 mtc RAN_Adapter.ttcnpp:120 Connecting ports VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:05.269737 1825 - Port MTP3_SP_PORT has established the connection with VirtMSC-SCCP(1823):MTP3_SCCP_PORT using transport type UNIX. 10:45:05.269874 mtc RAN_Adapter.ttcnpp:120 Connect operation on VirtMSC-IPA(1825):MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT finished. 10:45:05.269912 mtc RAN_Adapter.ttcnpp:122 Creating new PTC with component type IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:05.273306 1826 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:05.273360 1826 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: VirtMSC-IPA-WAIT(1826), component type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. Version: 9.0.0. 10:45:05.273370 1826 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:05.273392 1826 - Connected to MC. 10:45:05.273399 1826 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_EventWaiter_CT inside testcase TC_no_msc. 10:45:05.273477 mtc RAN_Adapter.ttcnpp:122 PTC was created. Component reference: 1826, alive: no, type: IPA_Emulation.IPA_EventWaiter_CT, component name: VirtMSC-IPA-WAIT. 10:45:05.273499 mtc RAN_Adapter.ttcnpp:123 Connecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:05.273667 1825 - Port IPA_SP_PORT is waiting for connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-256cb52e. 10:45:05.274286 1826 - Port IPA_SP_PORT was started. 10:45:05.274316 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was initialized. 10:45:05.274366 1826 - Port IPA_SP_PORT has established the connection with VirtMSC-IPA(1825):IPA_SP_PORT using transport type UNIX. 10:45:05.274405 1825 - Port IPA_SP_PORT has accepted the connection from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:05.274515 mtc RAN_Adapter.ttcnpp:123 Connect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:05.274543 mtc RAN_Adapter.ttcnpp:124 Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }) on component VirtMSC-IPA-WAIT(1826). 10:45:05.274573 mtc RAN_Adapter.ttcnpp:124 Function was started. 10:45:05.274580 mtc RAN_Adapter.ttcnpp:125 Starting function main_server("172.18.206.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true) on component VirtMSC-IPA(1825). 10:45:05.274681 mtc RAN_Adapter.ttcnpp:125 Function was started. 10:45:05.274729 1826 - Starting function waiter_main({ ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := ?, id_resp := * }). 10:45:05.274756 1825 - Starting function main_server("172.18.206.203", 5000, true, IPA_INIT_SEND_IPA_ID_ACK (1), true). 10:45:05.274975 1825 IPA_Emulation.ttcnpp:325 entering f__IPL4__PROVIDER__listen: 172.18.206.203:5000 / TCP 10:45:09.076019 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.206.20", remPort := 34469, locName := "172.18.206.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:09.076556 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:09.076605 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT failed: Type of the first message in the queue is not @IPA_CodecPort.IPA_RecvFrom. 10:45:09.076754 1825 IPA_Emulation.ttcnpp:822 Matching on port IPA_PORT succeeded: matched 10:45:09.076842 1825 IPA_Emulation.ttcnpp:822 Receive operation on port IPA_PORT succeeded, message from system(): @Socket_API_Definitions.PortEvent : { connOpened := { connId := 2, remName := "172.18.206.20", remPort := 34469, locName := "172.18.206.203", locPort := 5000, proto := { tcp := { } }, userData := 0 } } id 1 10:45:09.076899 1825 IPA_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:09.076933 1825 IPA_Emulation.ttcnpp:824 Established a new IPA connection (conn_id=2) 10:45:09.077917 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } 10:45:09.078482 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.078871 1825 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:09.078955 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:09.079238 1825 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.079475 1825 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:09.079686 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:09.079694 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT ASP_IPA_EVENT_UP (1) with ASP_IPA_EVENT_ID_ACK (3) unmatched: First message in the queue does not match the template: 10:45:09.079794 1826 IPA_Emulation.ttcnpp:956 Matching on port IPA_SP_PORT succeeded. 10:45:09.079825 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:09.079852 1826 IPA_Emulation.ttcnpp:956 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event: { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 2, id_resp := omit } id 1 10:45:09.079928 1826 IPA_Emulation.ttcnpp:956 Message with id 1 was extracted from the queue of IPA_SP_PORT. 10:45:09.080013 1825 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:09.080616 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.206.20", remPort := 34469, locName := "172.18.206.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:09.080648 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:09.080726 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:09.080738 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:09.080769 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:09.080775 1825 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:09.080780 1825 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:09.080786 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:09.080793 1825 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.080797 1825 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:09.080811 1825 IPA_Emulation.ttcnpp:374 Sent on IPA_SP_PORT to VirtMSC-IPA-WAIT(1826) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } 10:45:09.080885 1826 IPA_Emulation.ttcnpp:952 Message enqueued on IPA_SP_PORT from VirtMSC-IPA(1825) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:09.080920 1826 IPA_Emulation.ttcnpp:953 Matching on port IPA_SP_PORT succeeded: matched 10:45:09.080953 1826 IPA_Emulation.ttcnpp:953 Receive operation on port IPA_SP_PORT succeeded, message from VirtMSC-IPA(1825): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 2, id_resp := omit } id 2 10:45:09.080958 1826 IPA_Emulation.ttcnpp:953 Message with id 2 was extracted from the queue of IPA_SP_PORT. 10:45:09.080970 1826 IPA_Emulation.ttcnpp:954 setverdict(pass): none -> pass 10:45:09.080990 1826 - Function waiter_main finished. PTC terminates. 10:45:09.080996 1826 - Terminating component type IPA_Emulation.IPA_EventWaiter_CT. 10:45:09.081000 1826 - Removing unterminated connection between port IPA_SP_PORT and VirtMSC-IPA(1825):IPA_SP_PORT. 10:45:09.081015 1826 - Port IPA_SP_PORT was stopped. 10:45:09.081019 1826 - Component type IPA_Emulation.IPA_EventWaiter_CT was shut down inside testcase TC_no_msc. 10:45:09.081024 1826 - Final verdict of PTC: pass 10:45:09.081080 1825 IPA_Emulation.ttcnpp:735 Connection of port IPA_SP_PORT to VirtMSC-IPA-WAIT(1826):IPA_SP_PORT was closed unexpectedly by the peer. 10:45:09.081100 1825 IPA_Emulation.ttcnpp:735 Port IPA_SP_PORT was disconnected from VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:09.081112 mtc RAN_Adapter.ttcnpp:129 PTC with component reference 1826 is done. 10:45:09.081138 1826 - Disconnected from MC. 10:45:09.081143 mtc RAN_Adapter.ttcnpp:130 Disconnecting ports VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT. 10:45:09.081161 1826 - TTCN-3 Parallel Test Component finished. 10:45:09.081167 mtc RAN_Adapter.ttcnpp:130 Disconnect operation on VirtMSC-IPA(1825):IPA_SP_PORT and VirtMSC-IPA-WAIT(1826):IPA_SP_PORT finished. 10:45:09.081179 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 10:45:09.081188 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 10:45:09.081196 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:09.081603 1824 - Port BSSAP has established the connection with VirtMSC-SCCP(1823):SCCP_SP_PORT using transport type UNIX. 10:45:09.081858 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(1824):BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT finished. 10:45:09.081979 mtc RAN_Adapter.ttcnpp:178 Connecting MGCP RAN Emulation to IPA MGCP PORT 10:45:09.082033 mtc RAN_Adapter.ttcnpp:179 Connecting ports VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:09.082392 1824 - Port MGCP is waiting for connection from VirtMSC-IPA(1825):IPA_MGCP_PORT on UNIX pathname /tmp/ttcn3-portconn-2270a765. 10:45:09.082783 1825 IPA_Emulation.ttcnpp:735 Port IPA_MGCP_PORT has established the connection with VirtMSC-RAN(1824):MGCP using transport type UNIX. 10:45:09.082857 1824 - Port MGCP has accepted the connection from VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:09.082982 mtc RAN_Adapter.ttcnpp:179 Connect operation on VirtMSC-IPA(1825):IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP finished. 10:45:09.083018 mtc RAN_Adapter.ttcnpp:184 Connecting CTRL RAN Emulation to IPA CTRL PORT 10:45:09.083046 mtc RAN_Adapter.ttcnpp:185 Connecting ports VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:09.083234 1824 - Port CTRL is waiting for connection from VirtMSC-IPA(1825):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-3072a577. 10:45:09.083434 1825 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT has established the connection with VirtMSC-RAN(1824):CTRL using transport type UNIX. 10:45:09.083466 1824 - Port CTRL has accepted the connection from VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:09.083603 mtc RAN_Adapter.ttcnpp:185 Connect operation on VirtMSC-IPA(1825):IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL finished. 10:45:09.083755 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 10:45:09.083891 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(1824). 10:45:09.084203 mtc RAN_Adapter.ttcnpp:190 Function was started. 10:45:09.084287 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:09.084661 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(1824):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-c018e002. 10:45:09.085433 1824 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_SCCPlite_SERVER (1), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 10:45:09.087721 1824 Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:09.087934 1824 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 10:45:09.088217 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:09.088361 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1824):CTRL_CLIENT finished. 10:45:09.088429 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(1823). 10:45:09.089265 1823 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 10:45:09.090357 mtc RAN_Adapter.ttcnpp:197 Function was started. 10:45:09.090420 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:09.090525 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.093959 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:09.094452 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 19 10:45:09.096081 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.097540 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.098765 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:09.099975 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:09.100829 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:09.101587 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 18 10:45:09.101649 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 18 was extracted from the queue of BSCVTY. 10:45:09.101667 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.101684 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:09.101692 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 19 10:45:09.101699 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 19 was extracted from the queue of BSCVTY. 10:45:09.101706 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.101719 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:09.101744 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.101939 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 20 10:45:09.101986 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.102007 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.102030 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.102038 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 20 10:45:09.102051 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 10:45:09.102059 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.102069 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:09.102093 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.102195 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 21 10:45:09.102211 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.102224 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.102242 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.102249 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 21 10:45:09.102255 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 10:45:09.102262 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.102268 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 10:45:09.102279 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.102428 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 22 10:45:09.102474 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.102493 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.102513 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.102521 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 22 10:45:09.102531 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 22 was extracted from the queue of BSCVTY. 10:45:09.102538 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.102546 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:09.102567 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.102703 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 10:45:09.102745 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.102762 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:09.102782 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:09.102789 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 10:45:09.102796 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 10:45:09.102803 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.102811 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:09.102831 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:09.102957 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 24 10:45:09.103001 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:09.103019 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:09.103031 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 24 10:45:09.103038 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 24 was extracted from the queue of BSCVTY. 10:45:09.103045 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:09.103055 mtc BSC_Tests.ttcn:1193 msc 1 is not configured, skipping 10:45:09.103067 mtc BSC_Tests.ttcn:1193 msc 2 is not configured, skipping 10:45:09.103074 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:09.106559 1827 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:09.106615 1827 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: IPA-CTRL-CLI-IPA(1827), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 10:45:09.106627 1827 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:09.106654 1827 - Connected to MC. 10:45:09.106660 1827 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:09.106724 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 1827, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 10:45:09.106733 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT. 10:45:09.107702 1827 - Port IPA_PORT was started. 10:45:09.107715 1827 - Port CFG_PORT was started. 10:45:09.107719 1827 - Port MTP3_SP_PORT was started. 10:45:09.107721 1827 - Port IPA_MGCP_PORT was started. 10:45:09.107724 1827 - Port IPA_RSL_PORT was started. 10:45:09.107727 1827 - Port IPA_OML_PORT was started. 10:45:09.107730 1827 - Port IPA_CTRL_PORT was started. 10:45:09.107733 1827 - Port IPA_SP_PORT was started. 10:45:09.107736 1827 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:09.107757 1827 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:09.107866 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(1827):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:09.107887 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:09.107989 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-8e15f21f. 10:45:09.108053 1827 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 10:45:09.108068 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:09.108129 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 10:45:09.108134 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.206.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(1827). 10:45:09.108220 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 10:45:09.108225 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 10:45:09.108306 1827 - Starting function main_client("172.18.206.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 10:45:09.108441 1827 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.206.20:4249 / TCP 10:45:09.109304 1827 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:09.109360 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:09.109369 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 10:45:09.109375 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:09.109380 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 10:45:09.109390 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:09.112289 1828 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:09.112337 1828 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: VirtMGW-MGCP-0(1828), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 10:45:09.112347 1828 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:09.112368 1828 - Connected to MC. 10:45:09.112374 1828 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_no_msc. 10:45:09.112398 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 1828, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 10:45:09.112406 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.206.20", callagent_udp_port := -1, mgw_ip := "172.18.206.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(1828). 10:45:09.112437 mtc BSC_Tests.ttcn:1104 Function was started. 10:45:09.112442 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:09.112448 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.761246. 10:45:09.112463 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "761246121", variable := "bts.0.oml-connection-state", val := omit } } 10:45:09.112471 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:09.112558 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "761246121", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:09.112627 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:09.112642 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "761246121", variable := "bts.0.oml-connection-state", val := omit } } id 1 10:45:09.112654 1827 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 10:45:09.112669 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "761246121", variable := "bts.0.oml-connection-state", val := omit } } 10:45:09.112731 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 761246121 bts.0.oml-connection-state" 10:45:09.113042 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 761246121 bts.0.oml-connection-state") } 10:45:09.113114 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 761246121 bts.0.oml-connection-state") } 10:45:09.113216 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:09.113241 1828 - Port MGCP was started. 10:45:09.113252 1828 - Port MGCP_CLIENT was started. 10:45:09.113255 1828 - Port MGCP_CLIENT_MULTI was started. 10:45:09.113259 1828 - Port MGCP_PROC was started. 10:45:09.113261 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:09.113261 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 10:45:09.113311 1828 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.206.20", callagent_udp_port := -1, mgw_ip := "172.18.206.203", mgw_udp_port := 2427, multi_conn_mode := true }, "VirtMGW-MGCP-0"). 10:45:09.113483 1828 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT. 10:45:09.113494 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 10:45:09.113515 1828 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 10:45:09.113522 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:09.113534 1828 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(1828):MGCP to system:MGCP_CODEC_PT finished. 10:45:09.113606 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 761246121 bts.0.oml-connection-state degraded") } 10:45:09.113617 1828 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.206.203:2427 / UDP 10:45:09.113630 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 761246121 bts.0.oml-connection-state degraded") } id 1 10:45:09.113667 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:09.113680 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920373631323436313231206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 761246121 bts.0.oml-connection-state degraded") } id 1 10:45:09.113686 1827 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:09.113702 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 761246121 bts.0.oml-connection-state degraded" 10:45:09.113746 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 761246121 bts.0.oml-connection-state degraded 10:45:09.113752 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:09.113755 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:09.113794 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 761246121 bts.0.oml-connection-state degraded 10:45:09.113799 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:09.113804 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:09.113808 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:09.113812 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 761246121 bts.0.oml-connection-state degraded 10:45:09.113815 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.113819 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:09.113845 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 761246121 bts.0.oml-connection-state degraded 10:45:09.113850 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:09.113855 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:09.113858 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:09.113862 1827 IPA_Emulation.ttcnpp:627 match_begin data: 761246121 bts.0.oml-connection-state degraded 10:45:09.113865 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.113869 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.113874 1827 IPA_Emulation.ttcnpp:627 match_first data: 761246121 bts.0.oml-connection-state degraded 10:45:09.113877 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.113881 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:09.113884 1827 IPA_Emulation.ttcnpp:627 match_list data: 761246121 bts.0.oml-connection-state degraded 10:45:09.113887 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:09.113890 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:09.113894 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.113897 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.113900 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:09.113906 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.113909 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:09.113912 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:09.113916 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:09.113919 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:09.113922 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:09.113925 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:09.113929 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:09.113932 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:09.113936 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:09.113939 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:09.113942 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:09.113956 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "761246121", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:09.113977 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "761246121", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:09.114001 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "761246121", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:09.114014 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:09.114020 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "761246121", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 10:45:09.114025 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 10:45:09.114030 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:09.114034 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:10.088143 1824 Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:10.092386 1824 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(1823) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 10:45:10.092693 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:10.093423 1824 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 10:45:10.093474 1824 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 10:45:10.093628 1824 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 10:45:10.096957 1825 IPA_Emulation.ttcnpp:735 Message enqueued on MTP3_SP_PORT from VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:10.097448 1825 IPA_Emulation.ttcnpp:857 Matching on port MTP3_SP_PORT succeeded: matched 10:45:10.097526 1825 IPA_Emulation.ttcnpp:857 Receive operation on port MTP3_SP_PORT succeeded, message from VirtMSC-SCCP(1823): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, data := '090003070B0443BB00FE0443B900FE06000430040100'O } id 1 10:45:10.097593 1825 IPA_Emulation.ttcnpp:857 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 10:45:10.097674 1825 IPA_Emulation.ttcnpp:860 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.097721 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.097782 1825 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0016FD090003070B0443BB00FE0443B900FE06000430040100'O 10:45:10.097827 1825 IPA_Emulation.ttcnpp:860 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 2, proto := { tcp := { } }, msg := '0016FD090003070B0443BB00FE0443B900FE06000430040100'O } 10:45:10.099300 1825 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 2, remName := "172.18.206.20", remPort := 34469, locName := "172.18.206.203", locPort := 5000, proto := { tcp := { } }, userData := 0, msg := '0013FD090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.099329 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 10:45:10.099433 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0013FD090003070B0443B900FE0443BB00FE03000131'O 10:45:10.099470 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:10.099492 1825 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 19, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, payloadData := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:10.099541 1825 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.099544 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 10:45:10.099629 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:10.099673 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 10:45:10.099700 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 10:45:10.099739 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 10:45:10.099772 1825 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_SCCP (253) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.099796 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:10.099817 1825 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.099842 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.099848 1825 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 2, streamId := IPAC_PROTO_SCCP (253), streamIdExt := omit, msg := '090003070B0443B900FE0443BB00FE03000131'O } id 3 10:45:10.099879 1825 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.099884 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 10:45:10.099943 1827 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.099974 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 10:45:10.100028 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.100050 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.100071 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.100098 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.100118 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.100146 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.100167 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.100189 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.100208 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.100234 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.100253 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.100296 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.100316 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:10.100339 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.100357 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.100445 1825 IPA_Emulation.ttcnpp:759 Sent on MTP3_SP_PORT to VirtMSC-SCCP(1823) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 0, dpc := 0, sls := 0, data := '090003070B0443B900FE0443BB00FE03000131'O } 10:45:10.100458 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 10:45:10.100482 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:10.100508 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.100528 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:10.100550 1827 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 10:45:10.100570 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.100590 1827 IPA_Emulation.ttcnpp:627 match_first result: 23 10:45:10.100609 1827 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 10:45:10.100628 1827 IPA_Emulation.ttcnpp:627 match_list result: 23 10:45:10.100648 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.100667 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.100687 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.100707 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.100726 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.100746 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.100766 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.100785 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.100806 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:10.100854 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 10:45:10.100918 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.100951 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 10:45:10.100977 1827 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.100981 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:10.100999 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 10:45:10.101025 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.101046 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.101067 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.101087 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.101107 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.101140 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.101159 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.101179 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.101198 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.101223 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.101242 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.101261 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.101281 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 10:45:10.101303 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 10:45:10.101321 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.101343 1827 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 10:45:10.101362 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 10:45:10.101383 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.101402 1827 IPA_Emulation.ttcnpp:627 match_begin result: 7 10:45:10.101421 1827 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 10:45:10.101439 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.101459 1827 IPA_Emulation.ttcnpp:627 match_first result: 21 10:45:10.101477 1827 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 10:45:10.101496 1827 IPA_Emulation.ttcnpp:627 match_list result: 21 10:45:10.101515 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.101533 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.101552 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.101571 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.101589 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.101608 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.101627 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.101646 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.101664 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:10.101694 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 10:45:10.101746 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:10.103032 1824 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(1823) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 10:45:10.103190 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 10:45:10.109097 1824 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 10:45:10.109266 1824 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:10.109789 1824 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 10:45:10.109888 1824 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1823): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 10:45:10.109937 1824 RAN_Emulation.ttcnpp:540 Message with id 1 was extracted from the queue of BSSAP. 10:45:10.110000 1824 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 10:45:10.114043 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:10.114171 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:10.122255 1829 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.122322 1829 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: IPA-BTS0-TRX0-RSL-IPA(1829), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 10:45:10.122335 1829 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.122358 1829 - Connected to MC. 10:45:10.122364 1829 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_no_msc. 10:45:10.122655 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 1829, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 10:45:10.122790 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 10:45:10.122846 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 10:45:10.122873 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:10.126168 1829 - Port IPA_PORT was started. 10:45:10.126219 1829 - Port CFG_PORT was started. 10:45:10.126235 1829 - Port MTP3_SP_PORT was started. 10:45:10.126249 1829 - Port IPA_MGCP_PORT was started. 10:45:10.126262 1829 - Port IPA_RSL_PORT was started. 10:45:10.126276 1829 - Port IPA_OML_PORT was started. 10:45:10.126289 1829 - Port IPA_CTRL_PORT was started. 10:45:10.126323 1829 - Port IPA_SP_PORT was started. 10:45:10.126340 1829 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 10:45:10.131989 1830 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:10.132093 1830 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: IPA-BTS0-TRX0-RSL-RSL(1830), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 10:45:10.132118 1830 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:10.132168 1830 - Connected to MC. 10:45:10.132184 1830 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_no_msc. 10:45:10.132373 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 1830, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 10:45:10.132440 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0]. 10:45:10.132718 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-d77af91e. 10:45:10.134644 1830 - Port IPA_PT was started. 10:45:10.134680 1830 - Port CLIENT_PT was started. 10:45:10.134691 1830 - Port RSL_PROC was started. 10:45:10.134701 1830 - Port CCHAN_PT was started. 10:45:10.134711 1830 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 10:45:10.134771 1830 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 10:45:10.134921 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:10.135035 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 10:45:10.135071 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT. 10:45:10.135356 1829 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 10:45:10.135628 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(1829):IPA_PORT to system:IPA_CODEC_PT finished. 10:45:10.135710 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 10:45:10.136043 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-86528b6e. 10:45:10.136331 1829 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 10:45:10.136501 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:10.136633 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 10:45:10.136676 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:10.137098 1829 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-3a2fa064. 10:45:10.137352 1830 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT using transport type UNIX. 10:45:10.137537 1829 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:10.137720 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT finished. 10:45:10.137819 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.206.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(1829). 10:45:10.137997 mtc BSC_Tests.ttcn:999 Function was started. 10:45:10.138021 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(1830). 10:45:10.138162 mtc BSC_Tests.ttcn:1001 Function was started. 10:45:10.138204 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 10:45:10.138236 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.316361. 10:45:10.138292 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "316361262", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.138349 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.138388 1830 - Starting function main(true). 10:45:10.138392 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "316361262", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:10.138405 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "316361262", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:10.138405 1829 - Starting function main_client("172.18.206.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 10:45:10.138425 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:10.138450 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 10:45:10.138478 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 10:45:10.138515 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "316361262", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 10:45:10.138535 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.138539 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 10:45:10.138564 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 10:45:10.138572 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "316361262", variable := "bts.0.oml-connection-state", val := omit } } id 2 10:45:10.138584 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 10:45:10.138598 1827 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.138619 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "316361262", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.138649 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 316361262 bts.0.oml-connection-state" 10:45:10.138711 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 316361262 bts.0.oml-connection-state") } 10:45:10.138740 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 316361262 bts.0.oml-connection-state") } 10:45:10.138821 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.138876 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.138995 1829 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.206.20:3003 / TCP 10:45:10.139411 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 10:45:10.139442 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 10:45:10.139492 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 316361262 bts.0.oml-connection-state degraded") } 10:45:10.139564 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 316361262 bts.0.oml-connection-state degraded") } id 4 10:45:10.139593 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.139629 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333136333631323632206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 316361262 bts.0.oml-connection-state degraded") } id 4 10:45:10.139654 1827 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:10.139676 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 316361262 bts.0.oml-connection-state degraded" 10:45:10.139709 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 316361262 bts.0.oml-connection-state degraded 10:45:10.139728 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.139747 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.139785 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 316361262 bts.0.oml-connection-state degraded 10:45:10.139803 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.139828 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.139846 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.139864 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 316361262 bts.0.oml-connection-state degraded 10:45:10.139882 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.139900 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.139930 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 316361262 bts.0.oml-connection-state degraded 10:45:10.139947 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.139970 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.139987 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.140005 1827 IPA_Emulation.ttcnpp:627 match_begin data: 316361262 bts.0.oml-connection-state degraded 10:45:10.140022 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.140039 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.140057 1827 IPA_Emulation.ttcnpp:627 match_first data: 316361262 bts.0.oml-connection-state degraded 10:45:10.140074 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.140092 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:10.140109 1827 IPA_Emulation.ttcnpp:627 match_list data: 316361262 bts.0.oml-connection-state degraded 10:45:10.140136 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:10.140153 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 10:45:10.140172 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.140190 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.140208 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 10:45:10.140225 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.140242 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.140260 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 10:45:10.140280 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.140300 1827 IPA_Emulation.ttcnpp:627 match_begin data: degraded 10:45:10.140320 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.140339 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.140359 1827 IPA_Emulation.ttcnpp:627 match_first data: degraded 10:45:10.140377 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.140396 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.140415 1827 IPA_Emulation.ttcnpp:627 match_list data: degraded 10:45:10.140434 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.140455 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "316361262", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.140497 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "316361262", variable := "bts.0.oml-connection-state", val := "degraded" } } 10:45:10.140660 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "316361262", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:10.140800 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.140845 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "316361262", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 10:45:10.140880 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 10:45:10.140917 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 10:45:10.142480 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.142913 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 10:45:10.143599 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.143977 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 10:45:10.144293 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.144439 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 10:45:10.144666 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 10:45:10.146031 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 10:45:10.146930 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.147455 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 10:45:10.147537 1830 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 10:45:10.147600 1830 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 10:45:10.148524 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 10:45:10.148638 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 10:45:10.148979 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 10:45:10.149037 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:10.149112 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 10:45:10.149136 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 10:45:10.149158 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 10:45:10.149179 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.149378 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.149443 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 10:45:10.149472 1829 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 10:45:10.149495 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 10:45:10.149559 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:10.149605 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 10:45:10.150122 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:10.150246 1829 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:10.150291 1829 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 10:45:10.150458 1829 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.150490 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.150536 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 10:45:10.150574 1829 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 10:45:10.150677 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 10:45:10.150701 1829 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 10:45:10.150719 1829 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 10:45:10.150733 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 10:45:10.150749 1829 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.150762 1829 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 10:45:10.150796 1829 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 10:45:10.150936 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:10.151176 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 10:45:10.151208 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 10:45:10.151225 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 10:45:10.151243 1830 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 10:45:10.151261 1830 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 10:45:10.152532 1830 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 10:45:10.154037 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:10.154498 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:10.154572 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 10:45:10.154617 1829 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 10:45:10.154709 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 10:45:10.155246 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 10:45:10.155328 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 10:45:10.155350 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 10:45:10.155374 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 10:45:10.155404 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 10:45:10.155522 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.155540 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:10.155566 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } 10:45:10.155592 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.155612 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.155625 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:10.155643 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } 10:45:10.155663 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.155679 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 10:45:10.155691 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 10:45:10.155704 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 10:45:10.155719 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:10.155736 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.155748 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:10.155764 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 10:45:10.155784 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.155801 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.155819 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:10.155835 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 10:45:10.155855 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.155872 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.155884 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:10.155900 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } 10:45:10.155919 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.155936 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.155947 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:10.155963 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } 10:45:10.155981 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.155999 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.156010 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:10.156024 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 10:45:10.156042 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.156058 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.156073 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 10:45:10.156087 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 10:45:10.156105 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.156119 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 10:45:10.156131 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 10:45:10.156143 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 10:45:10.156157 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:10.156172 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.156183 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:10.156198 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 10:45:10.156215 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.156230 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.156241 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 10:45:10.156255 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 10:45:10.156271 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.156289 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.156300 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:10.156319 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 10:45:10.156345 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.156460 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.156480 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.156495 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 10:45:10.156508 1829 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 10:45:10.156562 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O 10:45:10.157095 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } 10:45:10.158138 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:10.158350 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158366 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158377 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 10:45:10.158387 1829 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 10:45:10.158395 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O 10:45:10.158417 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } 10:45:10.158478 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:10.158504 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158514 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158522 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 10:45:10.158535 1829 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:10.158542 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 10:45:10.158554 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 10:45:10.158578 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:10.158591 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158599 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158608 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 10:45:10.158616 1829 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:10.158623 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 10:45:10.158638 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 10:45:10.158667 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:10.158681 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158692 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158701 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 10:45:10.158709 1829 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:10.158717 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 10:45:10.158730 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 10:45:10.158757 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:10.158771 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158776 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:10.158780 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158788 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 10:45:10.158797 1829 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 10:45:10.158804 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 10:45:10.158818 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } 10:45:10.158845 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:10.158859 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158870 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158879 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 10:45:10.158887 1829 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 10:45:10.158890 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.158894 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 10:45:10.158905 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.158908 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } 10:45:10.158913 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.158935 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:10.158948 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.158956 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.158965 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 10:45:10.158973 1829 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 10:45:10.158980 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 10:45:10.158993 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:10.159018 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:10.159032 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159040 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159053 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 10:45:10.159060 1829 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 10:45:10.159067 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 10:45:10.159094 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 10:45:10.159160 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:10.159177 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159185 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159192 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 10:45:10.159199 1829 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 10:45:10.159206 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 10:45:10.159215 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 10:45:10.159230 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:10.159239 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.159241 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159250 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159258 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 10:45:10.159266 1829 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 10:45:10.159272 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 10:45:10.159283 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 10:45:10.159307 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:10.159319 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159326 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159334 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 10:45:10.159335 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159341 1829 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 10:45:10.159348 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 10:45:10.159361 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 10:45:10.159379 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:10.159390 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:10.159394 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.159397 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.159406 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 10:45:10.159413 1829 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 10:45:10.159414 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.159420 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 10:45:10.159428 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 10:45:10.159441 1830 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 10:45:10.159482 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 10:45:10.159518 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 10:45:10.159648 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:10.159654 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 1 10:45:10.159656 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:10.159677 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:10.159690 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:10.159704 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:10.159718 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:10.159731 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:10.159745 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:10.159772 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:10.159789 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:10.159801 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:10.159813 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:10.159822 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159829 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159834 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159852 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.159875 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.159888 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.159916 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.159929 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 10:45:10.159942 1830 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 10:45:10.159953 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 10:45:10.159966 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159973 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.159977 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 2 10:45:10.159978 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160053 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160075 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160088 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160097 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160106 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 10:45:10.160115 1830 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 10:45:10.160123 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 10:45:10.160137 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160143 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160145 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 10:45:10.160148 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160164 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160184 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160195 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160204 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160213 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 10:45:10.160222 1830 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 10:45:10.160232 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 10:45:10.160242 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160248 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160251 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 10:45:10.160254 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160269 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160290 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160302 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160310 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160319 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 10:45:10.160328 1830 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 10:45:10.160338 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 10:45:10.160348 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160354 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160357 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 10:45:10.160359 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160374 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160395 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160406 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160414 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160424 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 10:45:10.160432 1830 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 10:45:10.160441 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 10:45:10.160452 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160458 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160461 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 6 10:45:10.160463 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160478 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160497 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160511 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160519 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160528 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 10:45:10.160537 1830 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 10:45:10.160547 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 10:45:10.160557 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160563 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160566 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 7 10:45:10.160569 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160584 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160604 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160616 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:10.160624 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 10:45:10.160636 1830 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 10:45:10.160645 1830 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 10:45:10.160655 1830 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:10.160665 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160672 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160674 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106009000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 10:45:10.160677 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160693 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160712 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160723 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160733 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 10:45:10.160741 1830 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 10:45:10.160751 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 10:45:10.160766 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160772 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160775 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 10:45:10.160778 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160792 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.160809 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160822 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160830 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 10:45:10.160838 1830 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 10:45:10.160846 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 10:45:10.160855 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160861 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160862 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 10:45:10.160867 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160881 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160900 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.160914 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.160923 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 10:45:10.160931 1830 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 10:45:10.160940 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 10:45:10.160950 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160959 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160961 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 10:45:10.160965 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.160979 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 10:45:10.160999 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.161011 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.161021 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 10:45:10.161030 1830 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 10:45:10.161040 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 10:45:10.161061 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 10:45:10.161136 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:10.161151 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.161158 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.161164 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:10.161184 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:10.161210 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:10.161223 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 10:45:10.161237 1830 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 10:45:10.161250 1830 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 10:45:10.161263 1830 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 10:45:10.161299 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 10:45:10.240961 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 10:45:10.241164 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.823378. 10:45:10.241250 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "823378381", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.241326 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:10.241506 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "823378381", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:10.241701 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:10.241745 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "823378381", variable := "bts.0.oml-connection-state", val := omit } } id 3 10:45:10.241778 1827 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 10:45:10.241802 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "823378381", variable := "bts.0.oml-connection-state", val := omit } } 10:45:10.241843 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 823378381 bts.0.oml-connection-state" 10:45:10.241924 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 823378381 bts.0.oml-connection-state") } 10:45:10.241961 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 823378381 bts.0.oml-connection-state") } 10:45:10.242043 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 10:45:10.242094 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 10:45:10.242743 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 10:45:10.242880 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 10:45:10.242951 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 823378381 bts.0.oml-connection-state connected") } 10:45:10.243035 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 823378381 bts.0.oml-connection-state connected") } id 5 10:45:10.243076 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:10.243119 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383233333738333831206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 823378381 bts.0.oml-connection-state connected") } id 5 10:45:10.243149 1827 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 10:45:10.243178 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 823378381 bts.0.oml-connection-state connected" 10:45:10.243217 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 823378381 bts.0.oml-connection-state connected 10:45:10.243238 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:10.243260 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:10.243302 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 823378381 bts.0.oml-connection-state connected 10:45:10.243322 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:10.243352 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.243372 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:10.243392 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 823378381 bts.0.oml-connection-state connected 10:45:10.243413 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.243434 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:10.243469 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 823378381 bts.0.oml-connection-state connected 10:45:10.243489 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:10.243515 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:10.243534 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:10.243553 1827 IPA_Emulation.ttcnpp:627 match_begin data: 823378381 bts.0.oml-connection-state connected 10:45:10.243573 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.243593 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.243614 1827 IPA_Emulation.ttcnpp:627 match_first data: 823378381 bts.0.oml-connection-state connected 10:45:10.243633 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.243652 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:10.243671 1827 IPA_Emulation.ttcnpp:627 match_list data: 823378381 bts.0.oml-connection-state connected 10:45:10.243691 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:10.243710 1827 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 10:45:10.243730 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.243750 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.243770 1827 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 10:45:10.243790 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.243810 1827 IPA_Emulation.ttcnpp:627 match_first result: 26 10:45:10.243829 1827 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 10:45:10.243868 1827 IPA_Emulation.ttcnpp:627 match_list result: 26 10:45:10.243888 1827 IPA_Emulation.ttcnpp:627 match_begin data: connected 10:45:10.243908 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:10.243928 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:10.243948 1827 IPA_Emulation.ttcnpp:627 match_first data: connected 10:45:10.243966 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:10.243985 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:10.244005 1827 IPA_Emulation.ttcnpp:627 match_list data: connected 10:45:10.244024 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:10.244045 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "823378381", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:10.244089 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "823378381", variable := "bts.0.oml-connection-state", val := "connected" } } 10:45:10.244273 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "823378381", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:10.244414 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:10.244461 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "823378381", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 10:45:10.244498 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 10:45:10.244532 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 10:45:10.244564 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 10:45:11.244752 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 10:45:11.244941 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:11.245087 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.245416 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 25 10:45:11.245589 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.245679 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.245783 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.245819 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 25 10:45:11.245855 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 10:45:11.245889 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.245920 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 10:45:11.245986 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.246100 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 26 10:45:11.246169 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.246231 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.246331 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.246366 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 26 10:45:11.246396 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 10:45:11.246425 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.246454 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 10:45:11.246504 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.246661 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:11.246746 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.246807 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.246888 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.246920 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 27 10:45:11.246949 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 27 was extracted from the queue of BSCVTY. 10:45:11.246978 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.247007 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 10:45:11.247060 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.247349 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:11.247549 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.247638 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:11.247736 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 10:45:11.247772 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 28 10:45:11.247828 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 10:45:11.247862 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.247899 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 10:45:11.248000 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.248111 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 29 10:45:11.248178 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.248243 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:11.248274 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 29 10:45:11.248302 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 29 was extracted from the queue of BSCVTY. 10:45:11.248331 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.248359 mtc Osmocom_VTY_Functions.ttcn:333 "TC_no_msc() start" 10:45:11.248417 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_no_msc() start" 10:45:11.248468 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:11.249005 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 30 10:45:11.249209 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:11.249302 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:11.249336 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 30 10:45:11.249371 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 30 was extracted from the queue of BSCVTY. 10:45:11.249404 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:11.249444 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 10:45:12.249740 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 10:45:12.250037 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.025137. 10:45:12.250090 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.339351. 10:45:12.250117 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.691311. 10:45:12.250140 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.210566. 10:45:12.250163 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.251888. 10:45:12.250185 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.887969. 10:45:12.250207 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.073049. 10:45:12.250229 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.021467. 10:45:12.250251 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.383715. 10:45:12.250273 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.945736. 10:45:12.250332 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.788544. 10:45:12.250355 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.431114. 10:45:12.250377 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.629717. 10:45:12.250399 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.461642. 10:45:12.250421 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.863819. 10:45:12.250442 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.999579. 10:45:12.250464 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.813086. 10:45:12.250486 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.850874. 10:45:12.250508 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.091242. 10:45:12.250532 mtc BSC_Tests.ttcn:768 "TC_no_msc": using IMSI '001010362280039'H 10:45:12.250650 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.447541. 10:45:12.250713 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.250772 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:12.250962 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:12.251146 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:12.251191 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 4 10:45:12.251224 1827 IPA_Emulation.ttcnpp:879 Message with id 4 was extracted from the queue of IPA_CTRL_PORT. 10:45:12.251248 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.251289 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:12.251372 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.251410 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.251498 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:12.251556 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:12.252185 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O } id 6 10:45:12.252295 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O 10:45:12.252367 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } 10:45:12.252485 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:12.252526 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.252570 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592034343735343035333120726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632030'O ("GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0") } id 6 10:45:12.252601 1827 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 10:45:12.252630 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0" 10:45:12.252670 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.252692 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:12.252714 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:12.252755 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.252775 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:12.252803 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.252824 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:12.252845 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.252865 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.252887 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:12.252920 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.252940 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:12.252967 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.252986 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:12.253006 1827 IPA_Emulation.ttcnpp:627 match_begin data: 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.253026 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.253045 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.253066 1827 IPA_Emulation.ttcnpp:627 match_first data: 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.253084 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.253104 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:12.253123 1827 IPA_Emulation.ttcnpp:627 match_list data: 447540531 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.253142 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:12.253161 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.253182 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.253202 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.253223 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.253242 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.253262 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:12.253281 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 0 10:45:12.253300 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:12.253319 1827 IPA_Emulation.ttcnpp:627 match_begin data: 0 10:45:12.253338 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.253357 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.253377 1827 IPA_Emulation.ttcnpp:627 match_first data: 0 10:45:12.253404 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.253425 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:12.253444 1827 IPA_Emulation.ttcnpp:627 match_list data: 0 10:45:12.253462 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:12.253483 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:12.253530 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } 10:45:12.253719 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:12.253859 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:12.253905 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "447540531", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "0" } } id 7 10:45:12.253940 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 7 was extracted from the queue of IPA_CTRL. 10:45:12.253985 mtc Osmocom_CTRL_Functions.ttcn:247 retrieved rate counters: "bsc": { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:12.254056 mtc BSC_Tests.ttcn:870 initial bsc rate counters: { { { name := "mscpool:subscr:no_msc", val := 0 } } } 10:45:12.254246 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:12.267849 1831 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 10:45:12.268068 1831 - TTCN-3 Parallel Test Component started on ff2dea67a1a5. Component reference: TC_no_msc(1831), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. Version: 9.0.0. 10:45:12.268116 1831 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 10:45:12.268216 1831 - Connected to MC. 10:45:12.268248 1831 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_no_msc. 10:45:12.268535 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 1831, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_no_msc. 10:45:12.268658 mtc BSC_Tests.ttcn:4011 Connecting ports TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC. 10:45:12.269183 1824 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_no_msc(1831):RAN on UNIX pathname /tmp/ttcn3-portconn-6a7ab125. 10:45:12.273143 1831 - Port BSSAP_LE was started. 10:45:12.273156 1831 - Port BSSAP_LE_PROC was started. 10:45:12.273159 1831 - Port STATSD_PROC was started. 10:45:12.273162 1831 - Port MGCP was started. 10:45:12.273165 1831 - Port MGCP_MULTI was started. 10:45:12.273167 1831 - Port MGCP_PROC was started. 10:45:12.273170 1831 - Port BSSAP was started. 10:45:12.273173 1831 - Port BSSAP_PROC was started. 10:45:12.273176 1831 - Port RSL was started. 10:45:12.273179 1831 - Port RSL_PROC was started. 10:45:12.273182 1831 - Port RSL1 was started. 10:45:12.273184 1831 - Port RSL1_PROC was started. 10:45:12.273187 1831 - Port RSL2 was started. 10:45:12.273190 1831 - Port RSL2_PROC was started. 10:45:12.273192 1831 - Port RAN was started. 10:45:12.273195 1831 - Port BSCVTY was started. 10:45:12.273198 1831 - Port COORD was started. 10:45:12.273201 1831 - Port COORD2 was started. 10:45:12.273204 1831 - Port MGCP_MSC_CLIENT was started. 10:45:12.273207 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 10:45:12.273225 1831 - Port RAN has established the connection with VirtMSC-RAN(1824):PROC using transport type UNIX. 10:45:12.273349 1824 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_no_msc(1831):RAN. 10:45:12.273441 mtc BSC_Tests.ttcn:4011 Connect operation on TC_no_msc(1831):RAN and VirtMSC-RAN(1824):PROC finished. 10:45:12.273452 mtc BSC_Tests.ttcn:4012 Connecting ports TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:12.273645 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_no_msc(1831):RSL on UNIX pathname /tmp/ttcn3-portconn-3631f92a. 10:45:12.273753 1831 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT using transport type UNIX. 10:45:12.273881 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_no_msc(1831):RSL. 10:45:12.273911 mtc BSC_Tests.ttcn:4012 Connect operation on TC_no_msc(1831):RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT finished. 10:45:12.273941 mtc BSC_Tests.ttcn:4013 Connecting ports TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:12.274296 1830 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_no_msc(1831):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-6f76af6d. 10:45:12.274537 1831 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC using transport type UNIX. 10:45:12.274750 1830 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_no_msc(1831):RSL_PROC. 10:45:12.274962 mtc BSC_Tests.ttcn:4013 Connect operation on TC_no_msc(1831):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC finished. 10:45:12.275095 mtc BSC_Tests.ttcn:4022 Connecting ports TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:12.275578 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_no_msc(1831):BSSAP on UNIX pathname /tmp/ttcn3-portconn-2c6ee639. 10:45:12.275864 1831 - Port BSSAP has established the connection with VirtMSC-RAN(1824):CLIENT using transport type UNIX. 10:45:12.276065 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_no_msc(1831):BSSAP. 10:45:12.276285 mtc BSC_Tests.ttcn:4022 Connect operation on TC_no_msc(1831):BSSAP and VirtMSC-RAN(1824):CLIENT finished. 10:45:12.276407 mtc BSC_Tests.ttcn:4027 Connecting ports TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:12.276706 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC is waiting for connection from TC_no_msc(1831):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-2f76af1d. 10:45:12.277009 1831 - Port STATSD_PROC has established the connection with VirtMSC-STATS(1822):STATSD_PROC using transport type UNIX. 10:45:12.277218 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC has accepted the connection from TC_no_msc(1831):STATSD_PROC. 10:45:12.277259 mtc BSC_Tests.ttcn:4027 Connect operation on TC_no_msc(1831):STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC finished. 10:45:12.277314 mtc BSC_Tests.ttcn:4028 Connecting ports TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:12.277746 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_no_msc(1831):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-3972ab3f. 10:45:12.277950 1831 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(1828):MGCP_PROC using transport type UNIX. 10:45:12.278027 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_no_msc(1831):MGCP_PROC. 10:45:12.278151 mtc BSC_Tests.ttcn:4028 Connect operation on TC_no_msc(1831):MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC finished. 10:45:12.278275 mtc BSC_Tests.ttcn:4029 Connecting ports TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:12.278722 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_no_msc(1831):MGCP on UNIX pathname /tmp/ttcn3-portconn-2368a476. 10:45:12.278910 1831 - Port MGCP has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT using transport type UNIX. 10:45:12.279143 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_no_msc(1831):MGCP. 10:45:12.279260 mtc BSC_Tests.ttcn:4029 Connect operation on TC_no_msc(1831):MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT finished. 10:45:12.279382 mtc BSC_Tests.ttcn:4030 Connecting ports TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:12.279784 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_no_msc(1831):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-2320a43e. 10:45:12.279983 1831 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI using transport type UNIX. 10:45:12.280203 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_no_msc(1831):MGCP_MULTI. 10:45:12.280327 mtc BSC_Tests.ttcn:4030 Connect operation on TC_no_msc(1831):MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI finished. 10:45:12.280449 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001010362280039'H, imei := '00101746489880'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }) on component TC_no_msc(1831). 10:45:12.280726 mtc BSC_Tests.ttcn:4052 Function was started. 10:45:12.282293 1831 - Starting function f_handler_init(refers(BSC_Tests.f_tc_no_msc), "TC_no_msc", { ra := '23'O ("#"), fn := 23, imsi := '001010362280039'H, imei := '00101746489880'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := false, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }). 10:45:12.285240 1831 MGCP_Templates.ttcn:396 Random number generator was initialized with seed 3905.285231: srand48(45163129). 10:45:12.285316 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.170590. 10:45:12.285494 1831 MGCP_Templates.ttcn:396 Function rnd() returned 0.778709. 10:45:12.285665 1831 MSC_ConnectionHandler.ttcn:576 Mapping port TC_no_msc(1831):BSCVTY to system:BSCVTY. 10:45:12.287273 1831 MSC_ConnectionHandler.ttcn:576 Message enqueued on BSCVTY from system integer : 2 id 1 10:45:12.287552 1831 MSC_ConnectionHandler.ttcn:576 Port BSCVTY was mapped to system:BSCVTY. 10:45:12.287659 1831 MSC_ConnectionHandler.ttcn:576 Map operation of TC_no_msc(1831):BSCVTY to system:BSCVTY finished. 10:45:12.288091 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 10:45:12.288297 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 10:45:12.288348 1831 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 10:45:12.288728 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 10:45:12.288931 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.289059 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289082 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289098 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289114 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289158 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 10:45:12.289290 1831 Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 10:45:12.289347 1831 Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 10:45:12.289382 1831 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 10:45:12.289576 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 10:45:12.289744 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.289806 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.289827 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 10:45:12.289847 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 10:45:12.289890 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.290011 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 10:45:12.290059 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.293095 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:12.293284 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 4 10:45:12.294586 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.295732 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.296743 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:12.297758 1831 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:12.298510 1831 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" with ? matched 10:45:12.299248 1831 Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 0\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print level 1\n logging print file basename last\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\nlog gsmtap 172.18.206.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.206.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 5000 0 ipa\n local-ip 172.18.206.20\n remote-ip 172.18.206.203\n role asp\n sctp-role client\n as as-clnt-msc-0 ipa\n asp asp-clnt-msc-0\n routing-key 0 0.23.3\n point-code override dpc 0.23.1\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n mgw 0\n remote-ip 172.18.206.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n neighbor bts 1\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol ipa\n lcls-mode disabled\n lcls-codec-mismatch forbidden\nbsc\n mid-call-timeout 0\nend" id 3 10:45:12.299303 1831 Osmocom_VTY_Functions.ttcn:85 Message with id 3 was extracted from the queue of BSCVTY. 10:45:12.299323 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.299336 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.299343 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 10:45:12.299348 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of BSCVTY. 10:45:12.299354 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.299457 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 10:45:12.299473 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.299641 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 5 10:45:12.299679 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.299697 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.299715 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.299722 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 5 10:45:12.299733 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 10:45:12.299740 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.299759 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 10:45:12.299779 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.299923 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 6 10:45:12.299964 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.299981 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.299999 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.300005 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 6 10:45:12.300012 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 10:45:12.300018 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.300051 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 10:45:12.300070 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.300160 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 7 10:45:12.300173 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.300184 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.300200 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.300205 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 7 10:45:12.300211 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 7 was extracted from the queue of BSCVTY. 10:45:12.300216 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.300221 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:12.300230 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.300308 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 10:45:12.300319 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.300329 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.300344 1831 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 10:45:12.300349 1831 Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 10:45:12.300354 1831 Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 10:45:12.300360 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.300364 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 10:45:12.300371 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.300444 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 9 10:45:12.300455 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.300470 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.300476 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 9 10:45:12.300481 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 9 was extracted from the queue of BSCVTY. 10:45:12.300486 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.301165 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { locationUpdateRequest := { messageType := '000000'B, nsd := '00'B, locationUpdatingType := { lut := '10'B, spare1_1 := '0'B, fop := '0'B }, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, locationAreaIdentification := { mcc_mnc := '00F110'O, lac := '172A'O }, mobileStationClassmark1 := { rf_PowerCapability := '010'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B }, mobileIdentityLV := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001010000100001'H, fillerDigit := omit } } } }, classmarkInformationType2_forUMTS := omit, additionalUpdateParameterTV := omit, deviceProperties := omit, mS_NetworkFeatureSupport := omit } } } } 10:45:12.301463 1831 BSC_Tests.ttcn:10928 enc_PDU_ML3_MS_NW(): Stream after encoding: '05080200F110172A52080910100000010010'O 10:45:12.301717 1831 RSL_Emulation.ttcn:159 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } 10:45:12.301863 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:12.301958 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT succeeded: matched 10:45:12.301971 1830 RSL_Emulation.ttcn:628 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 10:45:12.301984 1830 RSL_Emulation.ttcn:628 Message with id 1 was extracted from the queue of CLIENT_PT. 10:45:12.302033 1831 RSL_Emulation.ttcn:120 Start timer T: 10 s 10:45:12.302194 1830 RSL_Emulation.ttcn:631 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 10:45:12.302343 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:12.302385 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.302398 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 10:45:12.302405 1829 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 10:45:12.302409 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 10:45:12.302452 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C130188132302F71100'O 10:45:12.302464 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C130188132302F71100'O } 10:45:12.302471 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C130188132302F71100'O } 10:45:12.302480 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C130188132302F71100'O 10:45:12.302486 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C130188132302F71100'O } 10:45:12.302791 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.302811 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101200300060403030100050664204367720004000D0718001F00'O 10:45:12.302824 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101200300060403030100050664204367720004000D0718001F00'O } 10:45:12.302839 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.302848 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.302854 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.302859 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 10:45:12.302865 1829 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 10:45:12.302869 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101200300060403030100050664204367720004000D0718001F00'O 10:45:12.303077 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 10:45:12.303600 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:12.303921 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:12.303951 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.303958 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.303961 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.303990 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.304012 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.304021 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.304028 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.304039 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT succeeded: matched 10:45:12.304050 1830 RSL_Emulation.ttcn:594 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 10:45:12.304057 1830 RSL_Emulation.ttcn:594 Message with id 16 was extracted from the queue of IPA_PT. 10:45:12.304150 1830 RSL_Emulation.ttcn:600 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } 10:45:12.304248 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:12.304283 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.304292 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 10:45:12.304300 1829 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 10:45:12.304305 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } 10:45:12.304337 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082201200802F7'O 10:45:12.304346 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082201200802F7'O } 10:45:12.304352 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082201200802F7'O } 10:45:12.304360 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082201200802F7'O 10:45:12.304366 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082201200802F7'O } 10:45:12.304509 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.304532 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.304544 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } 10:45:12.304553 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.304562 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.304568 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.304574 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 10:45:12.304580 1829 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 10:45:12.304584 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.304614 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:12.304655 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 10:45:12.304759 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:12.304785 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.304791 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.304795 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.304820 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT succeeded: matched 10:45:12.304830 1830 RSL_Emulation.ttcn:526 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 10:45:12.304841 1830 RSL_Emulation.ttcn:526 Message with id 17 was extracted from the queue of IPA_PT. 10:45:12.304900 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.305237 1830 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:12.305461 1830 RSL_Emulation.ttcn:547 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } 10:45:12.305724 1831 RSL_Emulation.ttcn:121 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:12.305918 1831 RSL_Emulation.ttcn:122 Matching on port RSL succeeded: matched 10:45:12.305938 1831 RSL_Emulation.ttcn:122 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 10:45:12.305948 1831 RSL_Emulation.ttcn:122 Message with id 1 was extracted from the queue of RSL. 10:45:12.305960 1831 RSL_Emulation.ttcn:123 Stop timer T: 10 s 10:45:12.306029 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O 10:45:12.306406 1831 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '1'B }, lh := omit, hl := omit, hh := omit } } } } 10:45:12.306824 1831 RSL_Emulation.ttcn:170 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:12.306962 1831 RSL_Emulation.ttcn:809 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } 10:45:12.307010 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:12.307069 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:12.307088 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:12.307097 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } id 2 10:45:12.307104 1830 RSL_Emulation.ttcn:640 Message with id 2 was extracted from the queue of CLIENT_PT. 10:45:12.307203 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } 10:45:12.307267 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:12.307291 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:12.307295 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_unregister. 10:45:12.307298 1830 RSL_Emulation.ttcn:681 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:12.307302 1830 RSL_Emulation.ttcn:687 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 10:45:12.307305 1830 RSL_Emulation.ttcn:693 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:12.307308 1830 RSL_Emulation.ttcn:708 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 10:45:12.307318 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:12.307322 1830 RSL_Emulation.ttcn:713 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched } 10:45:12.307350 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.307351 1830 RSL_Emulation.ttcn:713 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 10:45:12.307358 1830 RSL_Emulation.ttcn:713 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:12.307361 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } } id 4 10:45:12.307368 1829 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 10:45:12.307373 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05080200F110172A52080910100000010010'O } } } } } 10:45:12.307412 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206012002000B001205080200F110172A52080910100000010010'O 10:45:12.307424 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.307434 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.307442 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001B000206012002000B001205080200F110172A52080910100000010010'O 10:45:12.307453 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001B000206012002000B001205080200F110172A52080910100000010010'O } 10:45:12.307670 1830 RSL_Emulation.ttcn:715 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 10:45:12.307813 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301012002000B0003060D20'O } id 18 10:45:12.307834 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301012002000B0003060D20'O 10:45:12.307844 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301012002000B0003060D20'O } 10:45:12.307852 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:12.307859 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250120'O } id 19 10:45:12.307864 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250120'O 10:45:12.307869 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250120'O } 10:45:12.307874 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:12.307879 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 3003, locName := "172.18.206.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0120'O } id 20 10:45:12.307884 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0120'O 10:45:12.307888 1829 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0120'O } 10:45:12.307893 1829 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:12.307901 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.307908 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.307913 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D20'O } id 18 10:45:12.307918 1829 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 10:45:12.307922 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301012002000B0003060D20'O 10:45:12.307948 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:12.308007 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } 10:45:12.308035 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.308041 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.308046 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 10:45:12.308051 1829 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 10:45:12.308055 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250120'O 10:45:12.308061 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.308074 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.308081 1829 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 10:45:12.308086 1829 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.308090 1829 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 10:45:12.308094 1829 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 10:45:12.308097 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0120'O 10:45:12.308099 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:12.308102 1829 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.308113 1829 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.308125 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308130 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308134 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308154 1831 RSL_Emulation.ttcn:809 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:12.308168 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.308193 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.308202 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.308208 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.308211 1831 RSL_Emulation.ttcn:810 Matching on port RSL_PROC succeeded: { chan_act := matched } 10:45:12.308214 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.308221 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.308228 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } } id 18 10:45:12.308235 1830 RSL_Emulation.ttcn:606 Message with id 18 was extracted from the queue of IPA_PT. 10:45:12.308255 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } 10:45:12.308277 1831 RSL_Emulation.ttcn:810 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 10:45:12.308286 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:12.308301 1831 RSL_Emulation.ttcn:810 Operation with id 1 was extracted from the queue of RSL_PROC. 10:45:12.308303 1830 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:12.308310 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308315 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308319 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308329 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.308341 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.308348 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.308353 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.308359 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.308365 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.308370 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 10:45:12.308375 1830 RSL_Emulation.ttcn:606 Message with id 19 was extracted from the queue of IPA_PT. 10:45:12.308381 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.308387 1830 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308391 1830 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308395 1830 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 10:45:12.308403 1830 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 10:45:12.308416 1830 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 10:45:12.308423 1830 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 10:45:12.308428 1830 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 10:45:12.308434 1830 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_RF_CHAN_REL (46) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 10:45:12.308439 1830 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 10:45:12.308444 1830 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1829): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 10:45:12.308449 1830 RSL_Emulation.ttcn:606 Message with id 20 was extracted from the queue of IPA_PT. 10:45:12.308454 1830 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.308810 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:12.308855 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.308875 1831 BSC_Tests.ttcn:10932 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:12.310468 1831 BSC_Tests.ttcn:10933 Matching on port RSL succeeded: matched 10:45:12.310517 1831 BSC_Tests.ttcn:10933 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D20'O } } } } } id 2 10:45:12.310539 1831 BSC_Tests.ttcn:10933 Message with id 2 was extracted from the queue of RSL. 10:45:12.310593 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RR Release" 10:45:12.310674 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RR Release" 10:45:12.310740 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.311022 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 10 10:45:12.311091 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.311141 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.311161 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 10 10:45:12.311179 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 10 was extracted from the queue of BSCVTY. 10:45:12.311198 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.311242 1831 BSC_Tests.ttcn:10936 Matching on port RSL succeeded: matched 10:45:12.311268 1831 BSC_Tests.ttcn:10936 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.311288 1831 BSC_Tests.ttcn:10936 Message with id 3 was extracted from the queue of RSL. 10:45:12.311305 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL Deact SACCH" 10:45:12.311344 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL Deact SACCH" 10:45:12.311387 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.311594 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 11 10:45:12.311660 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.311714 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.311745 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 11 10:45:12.311765 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of BSCVTY. 10:45:12.311786 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.311830 1831 BSC_Tests.ttcn:10939 Matching on port RSL succeeded: matched 10:45:12.311856 1831 BSC_Tests.ttcn:10939 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 10:45:12.311877 1831 BSC_Tests.ttcn:10939 Message with id 4 was extracted from the queue of RSL. 10:45:12.311895 1831 Osmocom_VTY_Functions.ttcn:333 "Got RSL RF Chan Rel, sending Rel Ack" 10:45:12.311928 1831 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RF Chan Rel, sending Rel Ack" 10:45:12.311974 1831 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.312154 1831 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 10:45:12.312224 1831 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.312280 1831 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.312304 1831 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 10:45:12.312324 1831 Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 10:45:12.312345 1831 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.312562 1831 BSC_Tests.ttcn:10941 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.312697 1830 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_no_msc(1831) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.312742 1831 RSL_Emulation.ttcn:766 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } 10:45:12.312768 1830 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 10:45:12.312782 1830 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 10:45:12.312791 1830 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_no_msc(1831): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 10:45:12.312800 1830 RSL_Emulation.ttcn:640 Message with id 3 was extracted from the queue of CLIENT_PT. 10:45:12.312824 1830 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1829) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 10:45:12.312904 1830 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 2 10:45:12.312931 1829 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1830) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:12.312944 1830 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 10:45:12.312966 1830 RSL_Emulation.ttcn:675 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched, hdlr := TC_no_msc(1831) with ? matched } 10:45:12.312984 1829 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 10:45:12.312988 1830 RSL_Emulation.ttcn:675 Getcall operation on port RSL_PROC succeeded, call from TC_no_msc(1831): @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_no_msc(1831) } id 1 10:45:12.312997 1829 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1830): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 10:45:12.312997 1830 RSL_Emulation.ttcn:675 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:12.313014 1829 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 10:45:12.313022 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 10:45:12.313043 1829 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330120'O 10:45:12.313047 1830 RSL_Emulation.ttcn:678 Replied on RSL_PROC to TC_no_msc(1831) @RSL_Emulation.RSLEM_unregister : { } 10:45:12.313056 1829 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330120'O } 10:45:12.313066 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330120'O } 10:45:12.313077 1829 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330120'O 10:45:12.313086 1829 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330120'O } 10:45:12.313124 1831 RSL_Emulation.ttcn:766 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1830) @RSL_Emulation.RSLEM_unregister : { } id 2 10:45:12.313175 1831 RSL_Emulation.ttcn:767 Matching on port RSL_PROC succeeded: { } with { } matched 10:45:12.313198 1831 RSL_Emulation.ttcn:767 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1830): @RSL_Emulation.RSLEM_unregister : { } id 1 10:45:12.313218 1831 RSL_Emulation.ttcn:767 Operation with id 2 was extracted from the queue of RSL_PROC. 10:45:12.313259 1831 BSC_Tests.ttcn:10945 setverdict(pass): none -> pass 10:45:12.313307 1831 - Function f_handler_init finished. PTC terminates. 10:45:12.313327 1831 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 10:45:12.313342 1831 - Port BSSAP_LE was stopped. 10:45:12.313364 1831 - Port BSSAP_LE_PROC was stopped. 10:45:12.313378 1831 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(1822):STATSD_PROC. 10:45:12.313429 1831 - Port STATSD_PROC was stopped. 10:45:12.313446 1831 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(1828):MGCP_CLIENT. 10:45:12.313447 1822 StatsD_Checker.ttcn:119 Connection of port STATSD_PROC to TC_no_msc(1831):STATSD_PROC was closed unexpectedly by the peer. 10:45:12.313474 1831 - Port MGCP was stopped. 10:45:12.313492 1831 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(1828):MGCP_CLIENT_MULTI. 10:45:12.313494 1822 StatsD_Checker.ttcn:119 Port STATSD_PROC was disconnected from TC_no_msc(1831):STATSD_PROC. 10:45:12.313518 1831 - Port MGCP_MULTI was stopped. 10:45:12.313534 1831 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(1828):MGCP_PROC. 10:45:12.313536 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_no_msc(1831):MGCP was closed unexpectedly by the peer. 10:45:12.313567 1831 - Port MGCP_PROC was stopped. 10:45:12.313570 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_no_msc(1831):MGCP. 10:45:12.313583 1831 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(1824):CLIENT. 10:45:12.313600 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_no_msc(1831):MGCP_MULTI was closed unexpectedly by the peer. 10:45:12.313608 1828 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_no_msc(1831):MGCP_MULTI. 10:45:12.313627 1831 - Port BSSAP was stopped. 10:45:12.313635 1828 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_no_msc(1831):MGCP_PROC was closed unexpectedly by the peer. 10:45:12.313642 1828 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_no_msc(1831):MGCP_PROC. 10:45:12.313650 1831 - Port BSSAP_PROC was stopped. 10:45:12.313665 1831 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(1830):CLIENT_PT. 10:45:12.313682 1824 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_no_msc(1831):BSSAP was closed unexpectedly by the peer. 10:45:12.313695 1831 - Port RSL was stopped. 10:45:12.313714 1831 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1830):RSL_PROC. 10:45:12.313720 1824 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_no_msc(1831):BSSAP. 10:45:12.313742 1831 - Port RSL_PROC was stopped. 10:45:12.313760 1831 - Port RSL1 was stopped. 10:45:12.313765 1830 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_no_msc(1831):RSL was closed unexpectedly by the peer. 10:45:12.313775 1831 - Port RSL1_PROC was stopped. 10:45:12.313791 1831 - Port RSL2 was stopped. 10:45:12.313800 1830 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_no_msc(1831):RSL. 10:45:12.313806 1831 - Port RSL2_PROC was stopped. 10:45:12.313821 1831 - Removing unterminated connection between port RAN and VirtMSC-RAN(1824):PROC. 10:45:12.313832 1830 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_no_msc(1831):RSL_PROC was closed unexpectedly by the peer. 10:45:12.313840 1830 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_no_msc(1831):RSL_PROC. 10:45:12.313849 1831 - Port RAN was stopped. 10:45:12.313853 1824 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_no_msc(1831):RAN was closed unexpectedly by the peer. 10:45:12.313863 1824 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_no_msc(1831):RAN. 10:45:12.313867 1831 - Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:12.313974 1831 - Port BSCVTY was unmapped from system:BSCVTY. 10:45:12.314009 1831 - Port BSCVTY was stopped. 10:45:12.314027 1831 - Port COORD was stopped. 10:45:12.314042 1831 - Port COORD2 was stopped. 10:45:12.314065 1831 - Port MGCP_MSC_CLIENT was stopped. 10:45:12.314081 1831 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_no_msc. 10:45:12.314104 1831 - Final verdict of PTC: pass 10:45:12.314126 mtc BSC_Tests.ttcn:10957 PTC with component reference 1831 is done. 10:45:12.314149 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.357756. 10:45:12.314175 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.314191 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 10:45:12.314214 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:12.314259 1827 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 10:45:12.314272 1827 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } id 5 10:45:12.314282 1827 IPA_Emulation.ttcnpp:879 Message with id 5 was extracted from the queue of IPA_CTRL_PORT. 10:45:12.314289 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := omit } } 10:45:12.314310 1827 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc" 10:45:12.314346 1827 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745542033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.314357 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745542033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O ("GET 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc") } 10:45:12.314384 1827 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0037EE004745542033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O 10:45:12.314402 1827 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0037EE004745542033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D7363'O } 10:45:12.314434 1831 - Disconnected from MC. 10:45:12.314486 1831 - TTCN-3 Parallel Test Component finished. 10:45:12.314559 1827 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.206.20", remPort := 4249, locName := "172.18.206.203", locPort := 36537, proto := { tcp := { } }, userData := 0, msg := '003FEE004745545F5245504C592033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O } id 7 10:45:12.314570 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003FEE004745545F5245504C592033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O 10:45:12.314587 1827 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C592033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } 10:45:12.314607 1827 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:12.314627 1827 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 10:45:12.314639 1827 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C592033353737353536363620726174655F6374722E6162732E6273632E302E6D7363706F6F6C3A7375627363723A6E6F5F6D73632031'O ("GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1") } id 7 10:45:12.314648 1827 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 10:45:12.314655 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1" 10:45:12.314667 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314674 1827 IPA_Emulation.ttcnpp:627 match_begin token: null_match 10:45:12.314680 1827 IPA_Emulation.ttcnpp:627 match_begin result: 0 10:45:12.314693 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314700 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 10:45:12.314708 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.314715 1827 IPA_Emulation.ttcnpp:627 match_begin result: 3 10:45:12.314721 1827 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314727 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.314734 1827 IPA_Emulation.ttcnpp:627 match_begin result: -1 10:45:12.314745 1827 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314750 1827 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 10:45:12.314759 1827 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 10:45:12.314765 1827 IPA_Emulation.ttcnpp:627 match_begin result: 9 10:45:12.314771 1827 IPA_Emulation.ttcnpp:627 match_begin data: 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314777 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.314783 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.314789 1827 IPA_Emulation.ttcnpp:627 match_first data: 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314794 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.314800 1827 IPA_Emulation.ttcnpp:627 match_first result: 9 10:45:12.314806 1827 IPA_Emulation.ttcnpp:627 match_list data: 357755666 rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314812 1827 IPA_Emulation.ttcnpp:627 match_list result: 9 10:45:12.314818 1827 IPA_Emulation.ttcnpp:627 match_begin data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314824 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.314830 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.314836 1827 IPA_Emulation.ttcnpp:627 match_first data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314842 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.314848 1827 IPA_Emulation.ttcnpp:627 match_first result: 40 10:45:12.314854 1827 IPA_Emulation.ttcnpp:627 match_list data: rate_ctr.abs.bsc.0.mscpool:subscr:no_msc 1 10:45:12.314859 1827 IPA_Emulation.ttcnpp:627 match_list result: 40 10:45:12.314865 1827 IPA_Emulation.ttcnpp:627 match_begin data: 1 10:45:12.314871 1827 IPA_Emulation.ttcnpp:627 match_begin token: " " 10:45:12.314877 1827 IPA_Emulation.ttcnpp:627 match_begin result: 1 10:45:12.314883 1827 IPA_Emulation.ttcnpp:627 match_first data: 1 10:45:12.314888 1827 IPA_Emulation.ttcnpp:627 match_first token: " " 10:45:12.314894 1827 IPA_Emulation.ttcnpp:627 match_first result: -1 10:45:12.314900 1827 IPA_Emulation.ttcnpp:627 match_list data: 1 10:45:12.314905 1827 IPA_Emulation.ttcnpp:627 match_list result: -1 10:45:12.314911 1827 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:12.314928 1827 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } 10:45:12.315007 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1827) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:12.315054 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 10:45:12.315069 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1827): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "357755666", variable := "rate_ctr.abs.bsc.0.mscpool:subscr:no_msc", val := "1" } } id 8 10:45:12.315081 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 8 was extracted from the queue of IPA_CTRL. 10:45:12.315097 mtc Osmocom_CTRL_Functions.ttcn:312 setverdict(pass): none -> pass 10:45:12.315130 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 10:45:12.315165 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 10:45:12.315631 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:12.315669 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 10:45:12.315709 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.315736 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 10:45:12.315760 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 10:45:12.315774 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 10:45:12.315785 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" with ? matched 10:45:12.315795 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1616142 bytes in 1259 blocks)" id 31 10:45:12.315805 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 31 was extracted from the queue of BSCVTY. 10:45:12.315831 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 10:45:12.315850 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 10:45:12.315859 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 10:45:12.315868 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 10:45:12.315877 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 10:45:12.315889 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 10:45:12.315909 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 10:45:12.315923 mtc BSC_Tests.ttcn:918 Stopping all components. 10:45:12.315962 1822 StatsD_Checker.ttcn:119 Kill was requested from MC. 10:45:12.315968 1823 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 10:45:12.315969 1824 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 10:45:12.315973 1827 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:12.315976 1822 StatsD_Checker.ttcn:119 Terminating test component execution. 10:45:12.315980 1827 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:12.315991 1825 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 10:45:12.316003 1823 SCCP_Emulation.ttcn:5513 Terminating test component execution. 10:45:12.316003 1824 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 10:45:12.316035 1829 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 10:45:12.316038 1830 RSL_Emulation.ttcn:496 Stop was requested from MC. 10:45:12.316049 1828 MGCP_Emulation.ttcn:290 Stop was requested from MC. 10:45:12.316066 1825 IPA_Emulation.ttcnpp:735 Terminating test component execution. 10:45:12.316074 1829 IPA_Emulation.ttcnpp:735 Stopping test component execution. 10:45:12.316077 1830 RSL_Emulation.ttcn:496 Stopping test component execution. 10:45:12.316106 1828 MGCP_Emulation.ttcn:290 Stopping test component execution. 10:45:12.316290 1822 - Function main was stopped. PTC terminates. 10:45:12.316318 1822 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 10:45:12.316327 1822 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 10:45:12.316327 1824 - Function main was stopped. PTC terminates. 10:45:12.316359 1824 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 10:45:12.316361 1827 - Function main_client was stopped. PTC terminates. 10:45:12.316367 1824 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(1823):SCCP_SP_PORT. 10:45:12.316371 1830 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:12.316386 1823 - Function SCCPStart was stopped. PTC terminates. 10:45:12.316390 1822 - Port STATSVTY was unmapped from system:STATSVTY. 10:45:12.316392 1827 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.316394 1824 - Port BSSAP was stopped. 10:45:12.316402 1824 - Port CLIENT was stopped. 10:45:12.316403 1827 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.316405 1822 - Port STATSVTY was stopped. 10:45:12.316409 1824 - Removing unterminated connection between port MGCP and VirtMSC-IPA(1825):IPA_MGCP_PORT. 10:45:12.316413 1822 - Port STATSD_PROC was stopped. 10:45:12.316419 1822 - Removing unterminated mapping between port STATS and system:STATS. 10:45:12.316432 1823 - Terminating component type SCCP_Emulation.SCCP_CT. 10:45:12.316433 1824 - Port MGCP was stopped. 10:45:12.316436 1829 - Function main_client was stopped. PTC remains alive and is waiting for next start. 10:45:12.316443 1824 - Removing unterminated connection between port CTRL and VirtMSC-IPA(1825):IPA_CTRL_PORT. 10:45:12.316461 1824 - Port CTRL was stopped. 10:45:12.316467 1824 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 10:45:12.316482 1824 - Port CTRL_CLIENT was stopped. 10:45:12.316487 1823 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_no_msc. 10:45:12.316488 1824 - Port PROC was stopped. 10:45:12.316494 1824 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.316505 1823 - Final verdict of PTC: none 10:45:12.316505 1824 - Final verdict of PTC: none 10:45:12.316523 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(1824):CTRL_CLIENT was closed unexpectedly by the peer. 10:45:12.316560 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(1824):CTRL_CLIENT. 10:45:12.316644 1824 - Disconnected from MC. 10:45:12.316647 1827 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.316662 1827 - Port IPA_PORT was stopped. 10:45:12.316670 1827 - Port CFG_PORT was stopped. 10:45:12.316676 1827 - Port MTP3_SP_PORT was stopped. 10:45:12.316681 1827 - Port IPA_MGCP_PORT was stopped. 10:45:12.316683 1822 - Port STATS was unmapped from system:STATS. 10:45:12.316686 1827 - Port IPA_RSL_PORT was stopped. 10:45:12.316692 1827 - Port IPA_OML_PORT was stopped. 10:45:12.316697 1822 - Port STATS was stopped. 10:45:12.316697 1827 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 10:45:12.316704 1822 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_no_msc. 10:45:12.316707 1824 - TTCN-3 Parallel Test Component finished. 10:45:12.316710 1827 - Port IPA_CTRL_PORT was stopped. 10:45:12.316713 1822 - Final verdict of PTC: none 10:45:12.316715 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT was closed unexpectedly by the peer. 10:45:12.316716 1827 - Port IPA_SP_PORT was stopped. 10:45:12.316724 1827 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.316727 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(1827):IPA_CTRL_PORT. 10:45:12.316734 1827 - Final verdict of PTC: none 10:45:12.316883 1827 - Disconnected from MC. 10:45:12.316916 1827 - TTCN-3 Parallel Test Component finished. 10:45:12.316966 1822 - Disconnected from MC. 10:45:12.316999 1825 - Function main_server was stopped. PTC terminates. 10:45:12.316999 1828 - Function main was stopped. PTC remains alive and is waiting for next start. 10:45:12.317081 1822 - TTCN-3 Parallel Test Component finished. 10:45:12.317198 1825 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.317264 1825 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.318317 1825 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.318393 1825 - Port IPA_PORT was stopped. 10:45:12.318423 1825 - Port CFG_PORT was stopped. 10:45:12.318443 1825 - Removing unterminated connection between port MTP3_SP_PORT and VirtMSC-SCCP(1823):MTP3_SCCP_PORT. 10:45:12.318494 1825 - Port MTP3_SP_PORT was stopped. 10:45:12.318518 1825 - Removing unterminated connection between port IPA_MGCP_PORT and VirtMSC-RAN(1824):MGCP. 10:45:12.318556 1825 - Port IPA_MGCP_PORT was stopped. 10:45:12.318578 1825 - Port IPA_RSL_PORT was stopped. 10:45:12.318596 1825 - Port IPA_OML_PORT was stopped. 10:45:12.318614 1825 - Removing unterminated connection between port IPA_CTRL_PORT and VirtMSC-RAN(1824):CTRL. 10:45:12.318652 1825 - Port IPA_CTRL_PORT was stopped. 10:45:12.318673 1825 - Port IPA_SP_PORT was stopped. 10:45:12.318692 1825 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.318748 1825 - Final verdict of PTC: none 10:45:12.318808 mtc BSC_Tests.ttcn:918 All components were stopped. 10:45:12.318849 mtc BSC_Tests.ttcn:919 setverdict(pass): pass -> pass, component reason not changed 10:45:12.318883 mtc BSC_Tests.ttcn:920 Stopping test component execution. 10:45:12.318942 1825 - Disconnected from MC. 10:45:12.318957 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc was stopped. 10:45:12.318983 mtc BSC_Tests.ttcn:10961 Terminating component type BSC_Tests.test_CT. 10:45:12.319008 mtc BSC_Tests.ttcn:10961 Default with id 1 (altstep as_Tguard) was deactivated. 10:45:12.319019 1825 - TTCN-3 Parallel Test Component finished. 10:45:12.319035 mtc BSC_Tests.ttcn:10961 Stop timer T_guard: 30 s 10:45:12.319069 mtc BSC_Tests.ttcn:10961 Port IPA_CTRL was stopped. 10:45:12.319091 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(1830):CCHAN_PT. 10:45:12.319173 mtc BSC_Tests.ttcn:10961 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319204 mtc BSC_Tests.ttcn:10961 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319226 mtc BSC_Tests.ttcn:10961 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319249 mtc BSC_Tests.ttcn:10961 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319253 1830 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 10:45:12.319269 mtc BSC_Tests.ttcn:10961 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319290 mtc BSC_Tests.ttcn:10961 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319313 mtc BSC_Tests.ttcn:10961 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319334 mtc BSC_Tests.ttcn:10961 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319355 mtc BSC_Tests.ttcn:10961 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319357 1830 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 10:45:12.319376 mtc BSC_Tests.ttcn:10961 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319398 mtc BSC_Tests.ttcn:10961 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319418 mtc BSC_Tests.ttcn:10961 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319440 mtc BSC_Tests.ttcn:10961 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 10:45:12.319459 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[0] was stopped. 10:45:12.319480 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[1] was stopped. 10:45:12.319499 mtc BSC_Tests.ttcn:10961 Port RSL_CCHAN[2] was stopped. 10:45:12.319518 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][0] was stopped. 10:45:12.319537 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][1] was stopped. 10:45:12.319556 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][2] was stopped. 10:45:12.319575 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[0][3] was stopped. 10:45:12.319593 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][0] was stopped. 10:45:12.319611 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][1] was stopped. 10:45:12.319650 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][2] was stopped. 10:45:12.319669 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[1][3] was stopped. 10:45:12.319687 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][0] was stopped. 10:45:12.319706 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][1] was stopped. 10:45:12.319724 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][2] was stopped. 10:45:12.319742 mtc BSC_Tests.ttcn:10961 Port IPA_RSL[2][3] was stopped. 10:45:12.319761 mtc BSC_Tests.ttcn:10961 Port IPA was stopped. 10:45:12.319780 mtc BSC_Tests.ttcn:10961 Port SCCPLITE_IPA_CTRL was stopped. 10:45:12.319800 mtc BSC_Tests.ttcn:10961 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(1829):CFG_PORT. 10:45:12.319855 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][0] was stopped. 10:45:12.319870 1829 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 10:45:12.319875 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][1] was stopped. 10:45:12.319897 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][2] was stopped. 10:45:12.319901 1829 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 10:45:12.319916 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[0][3] was stopped. 10:45:12.319936 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][0] was stopped. 10:45:12.319954 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][1] was stopped. 10:45:12.319972 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][2] was stopped. 10:45:12.319991 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[1][3] was stopped. 10:45:12.320009 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][0] was stopped. 10:45:12.320027 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][1] was stopped. 10:45:12.320045 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][2] was stopped. 10:45:12.320064 mtc BSC_Tests.ttcn:10961 Port IPA_CFG_PORT[2][3] was stopped. 10:45:12.320083 mtc BSC_Tests.ttcn:10961 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 10:45:12.320190 mtc BSC_Tests.ttcn:10961 Port BSCVTY was unmapped from system:BSCVTY. 10:45:12.320226 mtc BSC_Tests.ttcn:10961 Port BSCVTY was stopped. 10:45:12.320245 mtc BSC_Tests.ttcn:10961 Port BSSAP was stopped. 10:45:12.320261 mtc BSC_Tests.ttcn:10961 Port BSSAP_LE was stopped. 10:45:12.320279 mtc BSC_Tests.ttcn:10961 Component type BSC_Tests.test_CT was shut down inside testcase TC_no_msc. 10:45:12.320300 mtc BSC_Tests.ttcn:10961 Waiting for PTCs to finish. 10:45:12.320386 1829 - Kill was requested from MC. Terminating idle PTC. 10:45:12.320401 1830 - Kill was requested from MC. Terminating idle PTC. 10:45:12.320411 1829 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 10:45:12.320427 1830 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 10:45:12.320431 1829 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 10:45:12.320447 1830 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(1829):IPA_RSL_PORT. 10:45:12.320478 1828 - Kill was requested from MC. Terminating idle PTC. 10:45:12.320482 1830 - Port IPA_PT was stopped. 10:45:12.320499 1830 - Port CLIENT_PT was stopped. 10:45:12.320515 1830 - Port RSL_PROC was stopped. 10:45:12.320532 1830 - Port CCHAN_PT was stopped. 10:45:12.320549 1830 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.320573 1830 - Final verdict of PTC: none 10:45:12.320621 1828 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 10:45:12.320682 1828 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 10:45:12.320687 1830 - Disconnected from MC. 10:45:12.320718 1830 - TTCN-3 Parallel Test Component finished. 10:45:12.321269 1829 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 10:45:12.321327 1829 - Port IPA_PORT was stopped. 10:45:12.321351 1829 - Port CFG_PORT was stopped. 10:45:12.321370 1829 - Port MTP3_SP_PORT was stopped. 10:45:12.321388 1829 - Port IPA_MGCP_PORT was stopped. 10:45:12.321405 1829 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1830):IPA_PT. 10:45:12.321478 1829 - Port IPA_RSL_PORT was stopped. 10:45:12.321498 1829 - Port IPA_OML_PORT was stopped. 10:45:12.321516 1829 - Port IPA_CTRL_PORT was stopped. 10:45:12.321533 1829 - Port IPA_SP_PORT was stopped. 10:45:12.321550 1829 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.321581 1829 - Final verdict of PTC: none 10:45:12.321725 1829 - Disconnected from MC. 10:45:12.321734 1829 - TTCN-3 Parallel Test Component finished. 10:45:12.321901 1828 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 10:45:12.321935 1828 - Port MGCP was stopped. 10:45:12.321950 1828 - Port MGCP_CLIENT was stopped. 10:45:12.321959 1828 - Port MGCP_CLIENT_MULTI was stopped. 10:45:12.321968 1828 - Port MGCP_PROC was stopped. 10:45:12.321990 1828 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_no_msc. 10:45:12.322009 1828 - Final verdict of PTC: none 10:45:12.322062 1828 - Disconnected from MC. 10:45:12.322073 mtc BSC_Tests.ttcn:10961 Setting final verdict of the test case. 10:45:12.322079 1828 - TTCN-3 Parallel Test Component finished. 10:45:12.322117 mtc BSC_Tests.ttcn:10961 Local verdict of MTC: pass 10:45:12.322138 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-STATS(1822): none (pass -> pass) 10:45:12.322154 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-SCCP(1823): none (pass -> pass) 10:45:12.322168 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-RAN(1824): none (pass -> pass) 10:45:12.322182 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA(1825): none (pass -> pass) 10:45:12.322196 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMSC-IPA-WAIT(1826): pass (pass -> pass) 10:45:12.322210 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-CTRL-CLI-IPA(1827): none (pass -> pass) 10:45:12.322224 mtc BSC_Tests.ttcn:10961 Local verdict of PTC VirtMGW-MGCP-0(1828): none (pass -> pass) 10:45:12.322237 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(1829): none (pass -> pass) 10:45:12.322251 mtc BSC_Tests.ttcn:10961 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(1830): none (pass -> pass) 10:45:12.322266 mtc BSC_Tests.ttcn:10961 Local verdict of PTC TC_no_msc(1831): pass (pass -> pass) 10:45:12.322281 mtc BSC_Tests.ttcn:10961 Test case TC_no_msc finished. Verdict: pass 10:45:12.322308 mtc BSC_Tests.ttcn:10961 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass'. 10:45:17.482775 mtc BSC_Tests.ttcn:10961 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_no_msc pass' was executed successfully (exit status: 0). 10:45:17.482867 mtc BSC_Tests.ttcn:11038 Switching to log file `BSC_Tests-TC_refuse_chan_act_to_vamos-ff2dea67a1a5-mtc.log'