09:01:14.736622 mtc MGCP_Test.ttcn:2046 Starting external command `/home/osmocom-build/jenkins/workspace/ttcn3-mgw-test-latest/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-start.sh MGCP_Test.TC_two_crcx_diff_pt_and_rtp_bidir'. 09:01:15.764919 mtc MGCP_Test.ttcn:2046 External command `/home/osmocom-build/jenkins/workspace/ttcn3-mgw-test-latest/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-start.sh MGCP_Test.TC_two_crcx_diff_pt_and_rtp_bidir' was executed successfully (exit status: 0). 09:01:15.765072 mtc MGCP_Test.ttcn:2046 Test case TC_two_crcx_diff_pt_and_rtp_bidir started. 09:01:15.765126 mtc MGCP_Test.ttcn:2046 Initializing variables, timers and ports of component type MGCP_Test.dummy_CT inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:15.765218 mtc MGCP_Test.ttcn:2046 Port STATSD_PROC was started. 09:01:15.765264 mtc MGCP_Test.ttcn:2046 Port IPA_CTRL was started. 09:01:15.765302 mtc MGCP_Test.ttcn:2046 Port MGCP was started. 09:01:15.765341 mtc MGCP_Test.ttcn:2046 Port RTPEM[0] was started. 09:01:15.765377 mtc MGCP_Test.ttcn:2046 Port RTPEM[1] was started. 09:01:15.765412 mtc MGCP_Test.ttcn:2046 Port RTPEM[2] was started. 09:01:15.765447 mtc MGCP_Test.ttcn:2046 Port OsmuxEM was started. 09:01:15.765481 mtc MGCP_Test.ttcn:2046 Port MGWVTY was started. 09:01:15.765516 mtc MGCP_Test.ttcn:2046 Component type MGCP_Test.dummy_CT was initialized. 09:01:15.765571 mtc MGCP_Test.ttcn:142 Mapping port mtc:MGCP to system:MGCP_CODEC_PT. 09:01:15.765659 mtc MGCP_Test.ttcn:142 Port MGCP was mapped to system:MGCP_CODEC_PT. 09:01:15.765745 mtc MGCP_Test.ttcn:142 Map operation of mtc:MGCP to system:MGCP_CODEC_PT finished. 09:01:15.765798 mtc MGCP_Test.ttcn:146 entering f__IPL4__PROVIDER__connect: 127.0.0.1:2727 -> 127.0.0.1:2427 / UDP 09:01:15.765842 mtc MGCP_Test.ttcn:146 entering f__IPL4__PROVIDER__listen: 127.0.0.1:2727 / UDP 09:01:15.765953 mtc MGCP_Test.ttcn:117 Creating new PTC with component type RTP_Emulation.RTP_Emulation_CT, component name: RTPEM0. 09:01:15.771226 239 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:01:15.771453 239 - TTCN-3 Parallel Test Component started on 3170af757903. Component reference: RTPEM0(239), component type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM0. Version: 9.0.0. 09:01:15.771514 239 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:01:15.771655 239 - Connected to MC. 09:01:15.771709 239 - Initializing variables, timers and ports of component type RTP_Emulation.RTP_Emulation_CT inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:15.771745 mtc MGCP_Test.ttcn:117 PTC was created. Component reference: 239, alive: no, type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM0. 09:01:15.771802 mtc MGCP_Test.ttcn:118 Mapping port RTPEM0(239):RTP to system:RTP. 09:01:15.773419 239 - Port RTP was started. 09:01:15.773486 239 - Port RTCP was started. 09:01:15.773523 239 - Port CTRL was started. 09:01:15.773560 239 - Port DATA was started. 09:01:15.773596 239 - Component type RTP_Emulation.RTP_Emulation_CT was initialized. 09:01:15.773669 239 - Port RTP was mapped to system:RTP. 09:01:15.773800 mtc MGCP_Test.ttcn:118 Map operation of RTPEM0(239):RTP to system:RTP finished. 09:01:15.773844 mtc MGCP_Test.ttcn:119 Mapping port RTPEM0(239):RTCP to system:RTCP. 09:01:15.773906 239 - Port RTCP was mapped to system:RTCP. 09:01:15.773972 mtc MGCP_Test.ttcn:119 Map operation of RTPEM0(239):RTCP to system:RTCP finished. 09:01:15.774011 mtc MGCP_Test.ttcn:120 Starting function f_main() on component RTPEM0(239). 09:01:15.774071 mtc MGCP_Test.ttcn:120 Function was started. 09:01:15.774096 239 - Starting function f_main(). 09:01:15.774110 mtc MGCP_Test.ttcn:155 Connecting ports RTPEM0(239):CTRL and mtc:RTPEM[0]. 09:01:15.774536 mtc MGCP_Test.ttcn:155 Port RTPEM[0] is waiting for connection from RTPEM0(239):CTRL on UNIX pathname /tmp/ttcn3-portconn-3073f707. 09:01:15.775366 239 RTP_Emulation.ttcn:458 Port CTRL has established the connection with mtc:RTPEM[0] using transport type UNIX. 09:01:15.775457 mtc MGCP_Test.ttcn:155 Port RTPEM[0] has accepted the connection from RTPEM0(239):CTRL. 09:01:15.775516 mtc MGCP_Test.ttcn:155 Connect operation on RTPEM0(239):CTRL and mtc:RTPEM[0] finished. 09:01:15.775563 mtc MGCP_Test.ttcn:117 Creating new PTC with component type RTP_Emulation.RTP_Emulation_CT, component name: RTPEM1. 09:01:15.778234 240 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:01:15.778350 240 - TTCN-3 Parallel Test Component started on 3170af757903. Component reference: RTPEM1(240), component type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM1. Version: 9.0.0. 09:01:15.778386 240 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:01:15.778466 240 - Connected to MC. 09:01:15.778499 240 - Initializing variables, timers and ports of component type RTP_Emulation.RTP_Emulation_CT inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:15.778529 mtc MGCP_Test.ttcn:117 PTC was created. Component reference: 240, alive: no, type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM1. 09:01:15.778592 mtc MGCP_Test.ttcn:118 Mapping port RTPEM1(240):RTP to system:RTP. 09:01:15.779311 240 - Port RTP was started. 09:01:15.779349 240 - Port RTCP was started. 09:01:15.779373 240 - Port CTRL was started. 09:01:15.779396 240 - Port DATA was started. 09:01:15.779419 240 - Component type RTP_Emulation.RTP_Emulation_CT was initialized. 09:01:15.779457 240 - Port RTP was mapped to system:RTP. 09:01:15.779513 mtc MGCP_Test.ttcn:118 Map operation of RTPEM1(240):RTP to system:RTP finished. 09:01:15.779562 mtc MGCP_Test.ttcn:119 Mapping port RTPEM1(240):RTCP to system:RTCP. 09:01:15.779625 240 - Port RTCP was mapped to system:RTCP. 09:01:15.779675 mtc MGCP_Test.ttcn:119 Map operation of RTPEM1(240):RTCP to system:RTCP finished. 09:01:15.779721 mtc MGCP_Test.ttcn:120 Starting function f_main() on component RTPEM1(240). 09:01:15.779792 mtc MGCP_Test.ttcn:120 Function was started. 09:01:15.779796 240 - Starting function f_main(). 09:01:15.779846 mtc MGCP_Test.ttcn:155 Connecting ports RTPEM1(240):CTRL and mtc:RTPEM[1]. 09:01:15.780218 mtc MGCP_Test.ttcn:155 Port RTPEM[1] is waiting for connection from RTPEM1(240):CTRL on UNIX pathname /tmp/ttcn3-portconn-2f72f707. 09:01:15.780311 240 RTP_Emulation.ttcn:458 Port CTRL has established the connection with mtc:RTPEM[1] using transport type UNIX. 09:01:15.780406 mtc MGCP_Test.ttcn:155 Port RTPEM[1] has accepted the connection from RTPEM1(240):CTRL. 09:01:15.780465 mtc MGCP_Test.ttcn:155 Connect operation on RTPEM1(240):CTRL and mtc:RTPEM[1] finished. 09:01:15.780525 mtc MGCP_Test.ttcn:117 Creating new PTC with component type RTP_Emulation.RTP_Emulation_CT, component name: RTPEM2. 09:01:15.784012 241 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:01:15.784117 241 - TTCN-3 Parallel Test Component started on 3170af757903. Component reference: RTPEM2(241), component type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM2. Version: 9.0.0. 09:01:15.784150 241 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:01:15.784225 241 - Connected to MC. 09:01:15.784255 241 - Initializing variables, timers and ports of component type RTP_Emulation.RTP_Emulation_CT inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:15.784287 mtc MGCP_Test.ttcn:117 PTC was created. Component reference: 241, alive: no, type: RTP_Emulation.RTP_Emulation_CT, component name: RTPEM2. 09:01:15.784332 mtc MGCP_Test.ttcn:118 Mapping port RTPEM2(241):RTP to system:RTP. 09:01:15.784958 241 - Port RTP was started. 09:01:15.784993 241 - Port RTCP was started. 09:01:15.785014 241 - Port CTRL was started. 09:01:15.785035 241 - Port DATA was started. 09:01:15.785056 241 - Component type RTP_Emulation.RTP_Emulation_CT was initialized. 09:01:15.785093 241 - Port RTP was mapped to system:RTP. 09:01:15.785148 mtc MGCP_Test.ttcn:118 Map operation of RTPEM2(241):RTP to system:RTP finished. 09:01:15.785188 mtc MGCP_Test.ttcn:119 Mapping port RTPEM2(241):RTCP to system:RTCP. 09:01:15.785245 241 - Port RTCP was mapped to system:RTCP. 09:01:15.785295 mtc MGCP_Test.ttcn:119 Map operation of RTPEM2(241):RTCP to system:RTCP finished. 09:01:15.785332 mtc MGCP_Test.ttcn:120 Starting function f_main() on component RTPEM2(241). 09:01:15.785393 mtc MGCP_Test.ttcn:120 Function was started. 09:01:15.785399 241 - Starting function f_main(). 09:01:15.785431 mtc MGCP_Test.ttcn:155 Connecting ports RTPEM2(241):CTRL and mtc:RTPEM[2]. 09:01:15.785740 mtc MGCP_Test.ttcn:155 Port RTPEM[2] is waiting for connection from RTPEM2(241):CTRL on UNIX pathname /tmp/ttcn3-portconn-2e71f707. 09:01:15.785919 241 RTP_Emulation.ttcn:458 Port CTRL has established the connection with mtc:RTPEM[2] using transport type UNIX. 09:01:15.785989 mtc MGCP_Test.ttcn:155 Port RTPEM[2] has accepted the connection from RTPEM2(241):CTRL. 09:01:15.786037 mtc MGCP_Test.ttcn:155 Connect operation on RTPEM2(241):CTRL and mtc:RTPEM[2] finished. 09:01:15.786076 mtc StatsD_Checker.ttcnpp:373 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtCallAgent-STATS. 09:01:15.790093 242 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:01:15.790311 242 - TTCN-3 Parallel Test Component started on 3170af757903. Component reference: VirtCallAgent-STATS(242), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtCallAgent-STATS. Version: 9.0.0. 09:01:15.790378 242 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:01:15.790521 242 - Connected to MC. 09:01:15.790589 242 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:15.790616 mtc StatsD_Checker.ttcnpp:373 PTC was created. Component reference: 242, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtCallAgent-STATS. 09:01:15.790683 mtc StatsD_Checker.ttcnpp:374 Starting function main("127.0.0.1", 8125) on component VirtCallAgent-STATS(242). 09:01:15.790791 mtc StatsD_Checker.ttcnpp:374 Function was started. 09:01:15.790841 mtc MGCP_Test.ttcn:163 Connecting ports mtc:STATSD_PROC and VirtCallAgent-STATS(242):STATSD_PROC. 09:01:15.791203 mtc MGCP_Test.ttcn:163 Port STATSD_PROC is waiting for connection from VirtCallAgent-STATS(242):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-2045a802. 09:01:15.792001 242 - Port STATSVTY was started. 09:01:15.792065 242 - Port STATSD_PROC was started. 09:01:15.792106 242 - Port STATS was started. 09:01:15.792139 242 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 09:01:15.792242 242 - Starting function main("127.0.0.1", 8125). 09:01:15.792381 242 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 09:01:15.792447 mtc MGCP_Test.ttcn:163 Port STATSD_PROC has accepted the connection from VirtCallAgent-STATS(242):STATSD_PROC. 09:01:15.792497 mtc MGCP_Test.ttcn:163 Connect operation on mtc:STATSD_PROC and VirtCallAgent-STATS(242):STATSD_PROC finished. 09:01:15.792536 mtc StatsD_Checker.ttcnpp:384 Called on STATSD_PROC to VirtCallAgent-STATS(242) @StatsD_Checker.STATSD_reset : { } 09:01:15.792586 242 StatsD_Checker.ttcnpp:117 Mapping port VirtCallAgent-STATS(242):STATS to system:STATS. 09:01:15.792700 242 StatsD_Checker.ttcnpp:117 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 09:01:15.792781 242 StatsD_Checker.ttcnpp:117 Port STATS was mapped to system:STATS. 09:01:15.792862 242 StatsD_Checker.ttcnpp:117 Map operation of VirtCallAgent-STATS(242):STATS to system:STATS finished. 09:01:15.793031 242 StatsD_Checker.ttcnpp:118 entering f__IPL4__PROVIDER__listen: 127.0.0.1:8125 / UDP 09:01:15.793919 242 StatsD_Checker.ttcnpp:126 Mapping port VirtCallAgent-STATS(242):STATSVTY to system:STATSVTY. 09:01:15.794472 242 StatsD_Checker.ttcnpp:126 Message enqueued on STATSVTY from system integer : 2 id 1 09:01:15.794580 242 StatsD_Checker.ttcnpp:126 Port STATSVTY was mapped to system:STATSVTY. 09:01:15.794659 242 StatsD_Checker.ttcnpp:126 Map operation of VirtCallAgent-STATS(242):STATSVTY to system:STATSVTY finished. 09:01:15.794857 242 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoMGW> ", has_wildcards := false } } 09:01:15.794976 242 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoMGW# ", has_wildcards := false } } 09:01:15.795032 242 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoMGW(*)", has_wildcards := true } } 09:01:15.795227 242 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 09:01:15.795343 242 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.795447 242 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:01:15.795487 242 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:01:15.795523 242 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:01:15.795558 242 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:01:15.795608 242 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:01:15.795693 242 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 09:01:15.795752 242 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 09:01:15.795803 242 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 09:01:15.795914 242 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoMGW# " id 2 09:01:15.796059 242 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.796164 242 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 09:01:15.796206 242 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoMGW# " id 2 09:01:15.796245 242 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 09:01:15.796293 242 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.796345 242 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 09:01:15.796410 242 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.796467 242 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoMGW# " id 3 09:01:15.796533 242 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.796604 242 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 09:01:15.796645 242 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoMGW# " id 3 09:01:15.796684 242 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 09:01:15.796724 242 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.796809 242 StatsD_Checker.ttcnpp:136 Matching on port STATSD_PROC succeeded: { } with { } matched 09:01:15.796869 242 StatsD_Checker.ttcnpp:136 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 09:01:15.796925 242 StatsD_Checker.ttcnpp:136 Operation with id 1 was extracted from the queue of STATSD_PROC. 09:01:15.796965 242 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 09:01:15.797025 242 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.797080 242 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoMGW# " id 4 09:01:15.797146 242 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.797210 242 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 09:01:15.797251 242 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoMGW# " id 4 09:01:15.797286 242 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 09:01:15.797322 242 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.797393 242 StatsD_Checker.ttcnpp:139 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 09:01:15.797480 mtc StatsD_Checker.ttcnpp:384 Reply enqueued on STATSD_PROC from VirtCallAgent-STATS(242) @StatsD_Checker.STATSD_reset : { } id 1 09:01:15.797527 mtc StatsD_Checker.ttcnpp:385 Matching on port STATSD_PROC succeeded: { } with { } matched 09:01:15.797557 mtc StatsD_Checker.ttcnpp:385 Getreply operation on port STATSD_PROC succeeded, reply from VirtCallAgent-STATS(242): @StatsD_Checker.STATSD_reset : { } id 1 09:01:15.797587 mtc StatsD_Checker.ttcnpp:385 Operation with id 1 was extracted from the queue of STATSD_PROC. 09:01:15.797615 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 09:01:15.802888 243 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:01:15.803064 243 - TTCN-3 Parallel Test Component started on 3170af757903. Component reference: IPA-CTRL-CLI-IPA(243), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 09:01:15.803120 243 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:01:15.803247 243 - Connected to MC. 09:01:15.803300 243 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:15.803335 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 243, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 09:01:15.803387 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(243):IPA_PORT to system:IPA_CODEC_PT. 09:01:15.804374 243 - Port IPA_PORT was started. 09:01:15.804432 243 - Port CFG_PORT was started. 09:01:15.804469 243 - Port IPA_CTRL_PORT was started. 09:01:15.804504 243 - Port IPA_SP_PORT was started. 09:01:15.804540 243 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 09:01:15.804602 243 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 09:01:15.804691 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(243):IPA_PORT to system:IPA_CODEC_PT finished. 09:01:15.804753 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(243):IPA_CTRL_PORT and mtc:IPA_CTRL. 09:01:15.805200 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(243):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-6500f21f. 09:01:15.805278 243 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 09:01:15.805369 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(243):IPA_CTRL_PORT. 09:01:15.805423 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(243):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 09:01:15.805468 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("127.0.0.1", 4267, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(243). 09:01:15.805580 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 09:01:15.805624 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 09:01:15.805676 243 - Starting function main_client("127.0.0.1", 4267, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 09:01:15.805958 243 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 127.0.0.1:4267 / TCP 09:01:15.807017 243 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 09:01:15.807150 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(243) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:01:15.807203 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 09:01:15.807245 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(243): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:01:15.807285 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 09:01:15.807378 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "DLCX", trans_id := "13933", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { }, sdp := omit } } } 09:01:15.807442 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '444C4358203133393333207274706272696467652F32406D6777204D47435020312E300D0A'O ("DLCX 13933 rtpbridge/2@mgw MGCP 1.0\r ") } 09:01:15.807510 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 09:01:15.807722 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '353135203133393333204641494C0D0A'O ("515 13933 FAIL\r ") } id 1 09:01:15.807817 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "515", trans_id := "13933", string := "FAIL" }, params := { }, sdp := omit } } } id 1 09:01:15.807864 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 09:01:15.807903 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "515", trans_id := "13933", string := "FAIL" }, params := { }, sdp := omit } } } id 1 09:01:15.807938 mtc MGCP_Test.ttcn:273 Message with id 1 was extracted from the queue of MGCP. 09:01:15.807969 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 09:01:15.808009 mtc MGCP_Test.ttcn:108 Mapping port mtc:MGWVTY to system:MGWVTY. 09:01:15.808226 mtc MGCP_Test.ttcn:108 Message enqueued on MGWVTY from system integer : 2 id 1 09:01:15.808276 mtc MGCP_Test.ttcn:108 Port MGWVTY was mapped to system:MGWVTY. 09:01:15.808332 mtc MGCP_Test.ttcn:108 Map operation of mtc:MGWVTY to system:MGWVTY finished. 09:01:15.808377 mtc Osmocom_VTY_Functions.ttcn:59 Sent on MGWVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoMGW> ", has_wildcards := false } } 09:01:15.808414 mtc Osmocom_VTY_Functions.ttcn:59 Sent on MGWVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoMGW# ", has_wildcards := false } } 09:01:15.808448 mtc Osmocom_VTY_Functions.ttcn:59 Sent on MGWVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoMGW(*)", has_wildcards := true } } 09:01:15.808517 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "enable" 09:01:15.808576 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.808615 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 09:01:15.808650 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 09:01:15.808690 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 09:01:15.808720 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 09:01:15.808750 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port MGWVTY failed: Type of the first message in the queue is not charstring. 09:01:15.808782 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port MGWVTY succeeded: 2 with ? matched 09:01:15.808816 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port MGWVTY succeeded, message from system(): integer : 2 id 1 09:01:15.808849 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of MGWVTY. 09:01:15.808892 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW# " id 2 09:01:15.808957 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.809018 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 09:01:15.809053 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW# " id 2 09:01:15.809085 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of MGWVTY. 09:01:15.809119 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.809153 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "configure terminal" 09:01:15.809218 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.809322 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config)# " id 3 09:01:15.809386 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.809446 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:01:15.809514 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config)# " with pattern "[\w-]+\(*\)\# " matched 09:01:15.809566 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config)# " id 3 09:01:15.809601 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of MGWVTY. 09:01:15.809648 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.809690 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "mgcp" 09:01:15.809778 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.809847 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config-mgcp)# " id 4 09:01:15.809920 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.809979 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:01:15.810045 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\(*\)\# " matched 09:01:15.810081 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config-mgcp)# " id 4 09:01:15.810117 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of MGWVTY. 09:01:15.810156 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.810193 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "osmux off" 09:01:15.810243 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.810315 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config-mgcp)# " id 5 09:01:15.810374 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.810440 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:01:15.810506 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config-mgcp)# " with pattern "[\w-]+\(*\)\# " matched 09:01:15.810542 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config-mgcp)# " id 5 09:01:15.810576 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of MGWVTY. 09:01:15.810613 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.810647 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "exit" 09:01:15.810697 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.810763 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW(config)# " id 6 09:01:15.810821 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.810876 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY "OsmoMGW(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:01:15.810941 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port MGWVTY succeeded: "OsmoMGW(config)# " with pattern "[\w-]+\(*\)\# " matched 09:01:15.810977 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW(config)# " id 6 09:01:15.811011 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of MGWVTY. 09:01:15.811047 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.811082 mtc Osmocom_VTY_Functions.ttcn:105 Sent on MGWVTY to system charstring : "exit" 09:01:15.811132 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:01:15.811177 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on MGWVTY from system charstring : "OsmoMGW# " id 7 09:01:15.811233 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port MGWVTY "OsmoMGW# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:01:15.811289 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port MGWVTY succeeded: "OsmoMGW# " with pattern "[\w-]+\# " matched 09:01:15.811324 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port MGWVTY succeeded, message from system(): charstring : "OsmoMGW# " id 7 09:01:15.811359 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of MGWVTY. 09:01:15.811395 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:01:15.811464 mtc RTP_Emulation.ttcn:201 Called on RTPEM[0] to RTPEM0(239) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } 09:01:15.811613 239 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 1 09:01:15.811713 239 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 10000 with ? matched } 09:01:15.811781 239 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 10000 } id 1 09:01:15.811830 239 RTP_Emulation.ttcn:460 Operation with id 1 was extracted from the queue of CTRL. 09:01:15.811969 239 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10000 / UDP 09:01:15.812644 239 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:10001 / UDP 09:01:15.812792 239 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 10000 } 09:01:15.812890 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[0] from RTPEM0(239) @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 1 09:01:15.812934 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[0] succeeded: { local_port := 10000 with ? matched } 09:01:15.812969 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(239): @RTP_Emulation.RTPEM_bind : { local_port := 10000 } id 1 09:01:15.813002 mtc RTP_Emulation.ttcn:202 Operation with id 1 was extracted from the queue of RTPEM[0]. 09:01:15.813092 mtc RTP_Emulation.ttcn:216 Called on RTPEM[0] to RTPEM0(239) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 98, fixed_payload := '01020304'O } }, rx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 98, fixed_payload := '01020304'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 09:01:15.813420 239 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 98, fixed_payload := '01020304'O } }, rx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 98, fixed_payload := '01020304'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 2 09:01:15.813516 239 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:15.813571 239 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:15.813615 239 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.813657 239 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.813711 239 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.813758 239 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.813791 239 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.813840 239 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 09:01:15.813931 239 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 98, fixed_payload := '01020304'O } }, rx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 98, fixed_payload := '01020304'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 09:01:15.813976 239 RTP_Emulation.ttcn:572 Operation with id 2 was extracted from the queue of CTRL. 09:01:15.814107 239 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 09:01:15.814164 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[0] from RTPEM0(239) @RTP_Emulation.RTPEM_configure : { } id 2 09:01:15.814206 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[0] succeeded: { } with { } matched 09:01:15.814230 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(239): @RTP_Emulation.RTPEM_configure : { } id 1 09:01:15.814253 mtc RTP_Emulation.ttcn:217 Operation with id 2 was extracted from the queue of RTPEM[0]. 09:01:15.814459 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "CRCX", trans_id := "13934", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "sendrecv" }, { code := "C", val := "1226" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 10000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "98" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "98 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } 09:01:15.814527 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '43524358203133393334207274706272696467652F32406D6777204D47435020312E300D0A4D3A2073656E64726563760D0A433A20313232360D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203130303030205254502F4156502039380D0A613D7274706D61703A393820414D522F383030300D0A613D7074696D653A32300D0A'O ("CRCX 13934 rtpbridge/2@mgw MGCP 1.0\r M: sendrecv\r C: 1226\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 10000 RTP/AVP 98\r a=rtpmap:98 AMR/8000\r a=ptime:20\r ") } 09:01:15.814575 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 09:01:15.815299 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203133393334204F4B0D0A493A2035323444433832310D0A0D0A763D300D0A6F3D2D20353234444338323120323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2035383438205254502F4156502039380D0A613D7274706D61703A393820414D522F383030300D0A613D7074696D653A32300D0A'O ("200 13934 OK\r I: 524DC821\r \r v=0\r o=- 524DC821 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 5848 RTP/AVP 98\r a=rtpmap:98 AMR/8000\r a=ptime:20\r ") } id 2 09:01:15.815440 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "13934", string := "OK" }, params := { { code := "I", val := "524DC821" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "524DC821", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 5848, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "98" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "98 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 2 09:01:15.815485 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 09:01:15.815522 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "13934", string := "OK" }, params := { { code := "I", val := "524DC821" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "524DC821", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 5848, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "98" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "98 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 2 09:01:15.815583 mtc MGCP_Test.ttcn:273 Message with id 2 was extracted from the queue of MGCP. 09:01:15.815627 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 09:01:15.815685 mtc RTP_Emulation.ttcn:206 Called on RTPEM[0] to RTPEM0(239) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 5848 } 09:01:15.815748 239 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 5848 } id 3 09:01:15.815786 239 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:15.815811 239 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 5848 with ? matched } 09:01:15.815835 239 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 5848 } id 1 09:01:15.815857 239 RTP_Emulation.ttcn:496 Operation with id 3 was extracted from the queue of CTRL. 09:01:15.815890 239 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10000 -> 127.0.0.1:5848 / UDP 09:01:15.815936 239 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:10001 -> 127.0.0.1:5849 / UDP 09:01:15.815966 239 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 09:01:15.816001 239 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 09:01:15.816005 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[0] from RTPEM0(239) @RTP_Emulation.RTPEM_connect : { } id 3 09:01:15.816044 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[0] succeeded: { } with { } matched 09:01:15.816073 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(239): @RTP_Emulation.RTPEM_connect : { } id 1 09:01:15.816107 mtc RTP_Emulation.ttcn:207 Operation with id 3 was extracted from the queue of RTPEM[0]. 09:01:15.816167 mtc RTP_Emulation.ttcn:201 Called on RTPEM[1] to RTPEM1(240) @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } 09:01:15.816340 240 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 09:01:15.816453 240 RTP_Emulation.ttcn:460 Matching on port CTRL succeeded: { local_host := "127.0.0.1" with ? matched, local_port := 20000 with ? matched } 09:01:15.816544 240 RTP_Emulation.ttcn:460 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_bind : { local_host := "127.0.0.1", local_port := 20000 } id 1 09:01:15.816604 240 RTP_Emulation.ttcn:460 Operation with id 1 was extracted from the queue of CTRL. 09:01:15.816771 240 RTP_Emulation.ttcn:473 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20000 / UDP 09:01:15.817474 240 RTP_Emulation.ttcn:486 entering f__IPL4__PROVIDER__listen: 127.0.0.1:20001 / UDP 09:01:15.817609 240 RTP_Emulation.ttcn:494 Replied on CTRL to mtc @RTP_Emulation.RTPEM_bind : { local_port := 20000 } 09:01:15.817724 mtc RTP_Emulation.ttcn:201 Reply enqueued on RTPEM[1] from RTPEM1(240) @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 09:01:15.817787 mtc RTP_Emulation.ttcn:202 Matching on port RTPEM[1] succeeded: { local_port := 20000 with ? matched } 09:01:15.817829 mtc RTP_Emulation.ttcn:202 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(240): @RTP_Emulation.RTPEM_bind : { local_port := 20000 } id 1 09:01:15.817862 mtc RTP_Emulation.ttcn:202 Operation with id 1 was extracted from the queue of RTPEM[1]. 09:01:15.817936 mtc RTP_Emulation.ttcn:216 Called on RTPEM[1] to RTPEM1(240) @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 112, fixed_payload := '01020304'O } }, rx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 112, fixed_payload := '01020304'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } 09:01:15.818250 240 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 112, fixed_payload := '01020304'O } }, rx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 112, fixed_payload := '01020304'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 2 09:01:15.818323 240 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:15.818359 240 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:15.818390 240 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.818424 240 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.818452 240 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.818479 240 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.818507 240 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:15.818540 240 RTP_Emulation.ttcn:572 Matching on port CTRL succeeded: { cfg := matched } 09:01:15.818611 240 RTP_Emulation.ttcn:572 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_configure : { cfg := { tx_samplerate_hz := 8000, tx_duration_ms := 20, tx_ssrc := '11011110101011011011111011101111'B, tx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 112, fixed_payload := '01020304'O } }, rx_payloads := { { payload_type := 0, fixed_payload := '01020304'O }, { payload_type := 112, fixed_payload := '01020304'O } }, iuup_mode := false, iuup_cfg := { active_init := true, data_pdu_type_0 := true, rab_flow_combs := { { rfci := 0, sub_flow_bits := { 81, 103, 60 }, ipti := 1 }, { rfci := 1, sub_flow_bits := { 39, 0, 0 }, ipti := 7 }, { rfci := 2, sub_flow_bits := { 0, 0, 0 }, ipti := 1 } } } } } id 1 09:01:15.818646 240 RTP_Emulation.ttcn:572 Operation with id 2 was extracted from the queue of CTRL. 09:01:15.818759 240 RTP_Emulation.ttcn:575 Replied on CTRL to mtc @RTP_Emulation.RTPEM_configure : { } 09:01:15.818826 mtc RTP_Emulation.ttcn:216 Reply enqueued on RTPEM[1] from RTPEM1(240) @RTP_Emulation.RTPEM_configure : { } id 2 09:01:15.818895 mtc RTP_Emulation.ttcn:217 Matching on port RTPEM[1] succeeded: { } with { } matched 09:01:15.818934 mtc RTP_Emulation.ttcn:217 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(240): @RTP_Emulation.RTPEM_configure : { } id 1 09:01:15.818972 mtc RTP_Emulation.ttcn:217 Operation with id 2 was extracted from the queue of RTPEM[1]. 09:01:15.819242 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "CRCX", trans_id := "13935", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "M", val := "sendrecv" }, { code := "C", val := "1226" }, { code := "L", val := "p:20, a:AMR" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "23", session_version := "42", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 20000, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } 09:01:15.819353 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '43524358203133393335207274706272696467652F32406D6777204D47435020312E300D0A4D3A2073656E64726563760D0A433A20313232360D0A4C3A20703A32302C20613A414D520D0A0D0A763D300D0A6F3D2D20323320343220494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F203230303030205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("CRCX 13935 rtpbridge/2@mgw MGCP 1.0\r M: sendrecv\r C: 1226\r L: p:20, a:AMR\r \r v=0\r o=- 23 42 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 20000 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } 09:01:15.819439 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 09:01:15.820130 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203133393335204F4B0D0A493A2034463444343133390D0A0D0A763D300D0A6F3D2D20344634443431333920323320494E20495034203132372E302E302E310D0A733D2D0D0A633D494E20495034203132372E302E302E310D0A743D3020300D0A6D3D617564696F2035383530205254502F415650203131320D0A613D7274706D61703A31313220414D522F383030300D0A613D7074696D653A32300D0A'O ("200 13935 OK\r I: 4F4D4139\r \r v=0\r o=- 4F4D4139 23 IN IP4 127.0.0.1\r s=-\r c=IN IP4 127.0.0.1\r t=0 0\r m=audio 5850 RTP/AVP 112\r a=rtpmap:112 AMR/8000\r a=ptime:20\r ") } id 3 09:01:15.820239 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "13935", string := "OK" }, params := { { code := "I", val := "4F4D4139" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "4F4D4139", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 5850, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 3 09:01:15.820288 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 09:01:15.820325 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "13935", string := "OK" }, params := { { code := "I", val := "4F4D4139" } }, sdp := { protocol_version := 0, origin := { user_name := "-", session_id := "4F4D4139", session_version := "23", net_type := "IN", addr_type := "IP4", addr := "127.0.0.1" }, session_name := "-", information := omit, uri := omit, emails := omit, phone_numbers := omit, connection := { net_type := "IN", addr_type := "IP4", conn_addr := { addr := "127.0.0.1", ttl := omit, num_of_addr := omit } }, bandwidth := omit, times := { { time_field := { start_time := "0", stop_time := "0" }, time_repeat := omit } }, timezone_adjustments := omit, key := omit, attributes := omit, media_list := { { media_field := { media := "audio", ports := { port_number := 5850, num_of_ports := omit }, transport := "RTP/AVP", fmts := { "112" } }, information := omit, connections := omit, bandwidth := omit, key := omit, attributes := { { rtpmap := { attr_value := "112 AMR/8000" } }, { ptime := { attr_value := "20" } } } } } } } } } id 3 09:01:15.820354 mtc MGCP_Test.ttcn:273 Message with id 3 was extracted from the queue of MGCP. 09:01:15.820376 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 09:01:15.820412 mtc RTP_Emulation.ttcn:206 Called on RTPEM[1] to RTPEM1(240) @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 5850 } 09:01:15.820462 240 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 5850 } id 3 09:01:15.820501 240 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:15.820534 240 RTP_Emulation.ttcn:496 Matching on port CTRL succeeded: { remote_host := "127.0.0.1" with ? matched, remote_port := 5850 with ? matched } 09:01:15.820569 240 RTP_Emulation.ttcn:496 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_connect : { remote_host := "127.0.0.1", remote_port := 5850 } id 1 09:01:15.820599 240 RTP_Emulation.ttcn:496 Operation with id 3 was extracted from the queue of CTRL. 09:01:15.820641 240 RTP_Emulation.ttcn:502 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20000 -> 127.0.0.1:5850 / UDP 09:01:15.820704 240 RTP_Emulation.ttcn:510 entering f__IPL4__PROVIDER__connect: 127.0.0.1:20001 -> 127.0.0.1:5851 / UDP 09:01:15.820745 240 RTP_Emulation.ttcn:523 Replied on CTRL to mtc @RTP_Emulation.RTPEM_connect : { } 09:01:15.820791 mtc RTP_Emulation.ttcn:206 Reply enqueued on RTPEM[1] from RTPEM1(240) @RTP_Emulation.RTPEM_connect : { } id 3 09:01:15.820792 240 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 09:01:15.820820 mtc RTP_Emulation.ttcn:207 Matching on port RTPEM[1] succeeded: { } with { } matched 09:01:15.820844 mtc RTP_Emulation.ttcn:207 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(240): @RTP_Emulation.RTPEM_connect : { } id 1 09:01:15.820866 mtc RTP_Emulation.ttcn:207 Operation with id 3 was extracted from the queue of RTPEM[1]. 09:01:15.820898 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(239) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } 09:01:15.820961 239 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 4 09:01:15.821002 239 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:15.821032 239 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:15.821078 239 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_NONE (0) unmatched } 09:01:15.821110 239 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_TXONLY (1) unmatched } 09:01:15.821134 239 RTP_Emulation.ttcn:538 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_RXONLY (2) unmatched } 09:01:15.821155 239 RTP_Emulation.ttcn:550 Matching on port CTRL succeeded: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_BIDIR (3) matched } 09:01:15.821177 239 RTP_Emulation.ttcn:550 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 1 09:01:15.821199 239 RTP_Emulation.ttcn:550 Operation with id 4 was extracted from the queue of CTRL. 09:01:15.821224 239 RTP_Emulation.ttcn:551 Start timer T_transmit: 0.02 s 09:01:15.821268 239 RTP_Emulation.ttcn:554 Port RTP was cleared. 09:01:15.821291 239 RTP_Emulation.ttcn:555 Port RTCP was cleared. 09:01:15.821313 239 RTP_Emulation.ttcn:559 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 09:01:15.821359 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(239) @RTP_Emulation.RTPEM_mode : { } id 4 09:01:15.821386 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 09:01:15.821441 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(239): @RTP_Emulation.RTPEM_mode : { } id 1 09:01:15.821485 mtc RTP_Emulation.ttcn:212 Operation with id 4 was extracted from the queue of RTPEM[0]. 09:01:15.821523 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(240) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } 09:01:15.821605 240 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 4 09:01:15.821644 240 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:15.821673 240 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:15.821731 240 RTP_Emulation.ttcn:525 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_NONE (0) unmatched } 09:01:15.821774 240 RTP_Emulation.ttcn:531 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_TXONLY (1) unmatched } 09:01:15.821805 240 RTP_Emulation.ttcn:538 Matching on port call failed: The parameters of the first CTRL in the queue do not match the template: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_RXONLY (2) unmatched } 09:01:15.821833 240 RTP_Emulation.ttcn:550 Matching on port CTRL succeeded: { mode := RTPEM_MODE_BIDIR (3) with RTPEM_MODE_BIDIR (3) matched } 09:01:15.821863 240 RTP_Emulation.ttcn:550 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_BIDIR (3) } id 1 09:01:15.821893 240 RTP_Emulation.ttcn:550 Operation with id 4 was extracted from the queue of CTRL. 09:01:15.821928 240 RTP_Emulation.ttcn:551 Start timer T_transmit: 0.02 s 09:01:15.821981 240 RTP_Emulation.ttcn:554 Port RTP was cleared. 09:01:15.822011 240 RTP_Emulation.ttcn:555 Port RTCP was cleared. 09:01:15.822043 240 RTP_Emulation.ttcn:559 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 09:01:15.822107 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(240) @RTP_Emulation.RTPEM_mode : { } id 4 09:01:15.822162 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 09:01:15.822202 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(240): @RTP_Emulation.RTPEM_mode : { } id 1 09:01:15.822241 mtc RTP_Emulation.ttcn:212 Operation with id 4 was extracted from the queue of RTPEM[1]. 09:01:15.822284 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 09:01:15.841265 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.841831 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.841977 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.842093 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000000000000DEADBEEF01020304'O } 09:01:15.842260 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.842588 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.842897 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000000000000DEADBEEF01020304'O } 09:01:15.843097 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.843254 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000000000000DEADBEEF01020304'O } id 1 09:01:15.843355 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000000000000DEADBEEF01020304'O } id 1 09:01:15.843446 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 1 09:01:15.843551 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.843598 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 1 09:01:15.843600 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 1 09:01:15.843637 239 RTP_Emulation.ttcn:614 Message with id 1 was extracted from the queue of RTP. 09:01:15.843742 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.843804 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 0, time_stamp := '00000000000000000000000000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 1 09:01:15.843853 240 RTP_Emulation.ttcn:614 Message with id 1 was extracted from the queue of RTP. 09:01:15.862308 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.862414 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.862475 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80620001000000A0DEADBEEF01020304'O } 09:01:15.862542 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.862689 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700001000000A0DEADBEEF01020304'O } id 2 09:01:15.862766 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 2 09:01:15.862820 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.862869 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 2 09:01:15.862910 240 RTP_Emulation.ttcn:614 Message with id 2 was extracted from the queue of RTP. 09:01:15.863146 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.863212 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.863277 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700001000000A0DEADBEEF01020304'O } 09:01:15.863337 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.863450 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620001000000A0DEADBEEF01020304'O } id 2 09:01:15.863511 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 2 09:01:15.863567 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.863633 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 1, time_stamp := '00000000000000000000000010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 2 09:01:15.863705 239 RTP_Emulation.ttcn:614 Message with id 2 was extracted from the queue of RTP. 09:01:15.882594 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.882708 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.882776 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000200000140DEADBEEF01020304'O } 09:01:15.882853 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.883387 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.883494 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.883558 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000200000140DEADBEEF01020304'O } 09:01:15.883629 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.883703 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000200000140DEADBEEF01020304'O } id 3 09:01:15.883724 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000200000140DEADBEEF01020304'O } id 3 09:01:15.883773 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 3 09:01:15.883796 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 3 09:01:15.883828 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.883861 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.883881 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 3 09:01:15.883915 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 2, time_stamp := '00000000000000000000000101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 3 09:01:15.883927 240 RTP_Emulation.ttcn:614 Message with id 3 was extracted from the queue of RTP. 09:01:15.883960 239 RTP_Emulation.ttcn:614 Message with id 3 was extracted from the queue of RTP. 09:01:15.902909 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.903015 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.903078 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80620003000001E0DEADBEEF01020304'O } 09:01:15.903145 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.903681 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.903774 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.903835 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700003000001E0DEADBEEF01020304'O } 09:01:15.903901 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.903969 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700003000001E0DEADBEEF01020304'O } id 4 09:01:15.904015 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620003000001E0DEADBEEF01020304'O } id 4 09:01:15.904032 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 4 09:01:15.904079 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 4 09:01:15.904099 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.904143 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.904149 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 4 09:01:15.904194 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 3, time_stamp := '00000000000000000000000111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 4 09:01:15.904196 240 RTP_Emulation.ttcn:614 Message with id 4 was extracted from the queue of RTP. 09:01:15.904237 239 RTP_Emulation.ttcn:614 Message with id 4 was extracted from the queue of RTP. 09:01:15.923197 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.923296 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.923355 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000400000280DEADBEEF01020304'O } 09:01:15.923417 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.923948 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.924035 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.924093 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000400000280DEADBEEF01020304'O } 09:01:15.924157 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.924224 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000400000280DEADBEEF01020304'O } id 5 09:01:15.924272 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000400000280DEADBEEF01020304'O } id 5 09:01:15.924285 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 5 09:01:15.924333 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.924339 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 5 09:01:15.924381 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 5 09:01:15.924393 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.924421 240 RTP_Emulation.ttcn:614 Message with id 5 was extracted from the queue of RTP. 09:01:15.924435 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 4, time_stamp := '00000000000000000000001010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 5 09:01:15.924476 239 RTP_Emulation.ttcn:614 Message with id 5 was extracted from the queue of RTP. 09:01:15.943466 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.943558 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.943617 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062000500000320DEADBEEF01020304'O } 09:01:15.943679 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.944207 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.944296 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.944355 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000500000320DEADBEEF01020304'O } 09:01:15.944420 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.944489 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000500000320DEADBEEF01020304'O } id 6 09:01:15.944526 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000500000320DEADBEEF01020304'O } id 6 09:01:15.944551 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 6 09:01:15.944588 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 6 09:01:15.944615 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.944649 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.944663 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 6 09:01:15.944697 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 5, time_stamp := '00000000000000000000001100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 6 09:01:15.944699 240 RTP_Emulation.ttcn:614 Message with id 6 was extracted from the queue of RTP. 09:01:15.944738 239 RTP_Emulation.ttcn:614 Message with id 6 was extracted from the queue of RTP. 09:01:15.963730 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.963823 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.963881 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80000006000003C0DEADBEEF01020304'O } 09:01:15.963942 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.964470 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.964556 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.964615 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80000006000003C0DEADBEEF01020304'O } 09:01:15.964681 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.964748 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700006000003C0DEADBEEF01020304'O } id 7 09:01:15.964794 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620006000003C0DEADBEEF01020304'O } id 7 09:01:15.964810 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 7 09:01:15.964856 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 7 09:01:15.964863 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.964905 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.964921 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 7 09:01:15.964952 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 6, time_stamp := '00000000000000000000001111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 7 09:01:15.964966 240 RTP_Emulation.ttcn:614 Message with id 7 was extracted from the queue of RTP. 09:01:15.964993 239 RTP_Emulation.ttcn:614 Message with id 7 was extracted from the queue of RTP. 09:01:15.983992 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.984084 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.984143 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062000700000460DEADBEEF01020304'O } 09:01:15.984214 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.984729 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:15.984811 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:15.984868 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000700000460DEADBEEF01020304'O } 09:01:15.984940 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:15.985006 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000700000460DEADBEEF01020304'O } id 8 09:01:15.985047 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000700000460DEADBEEF01020304'O } id 8 09:01:15.985066 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 8 09:01:15.985107 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 8 09:01:15.985115 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.985157 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:15.985162 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 8 09:01:15.985204 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 7, time_stamp := '00000000000000000000010001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 8 09:01:15.985205 240 RTP_Emulation.ttcn:614 Message with id 8 was extracted from the queue of RTP. 09:01:15.985243 239 RTP_Emulation.ttcn:614 Message with id 8 was extracted from the queue of RTP. 09:01:16.004260 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.004344 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.004402 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000800000500DEADBEEF01020304'O } 09:01:16.004466 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.004988 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.005074 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.005134 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000800000500DEADBEEF01020304'O } 09:01:16.005199 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.005266 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000800000500DEADBEEF01020304'O } id 9 09:01:16.005305 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000800000500DEADBEEF01020304'O } id 9 09:01:16.005328 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 9 09:01:16.005367 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 9 09:01:16.005380 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.005420 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.005422 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 9 09:01:16.005467 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 8, time_stamp := '00000000000000000000010100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 9 09:01:16.005468 240 RTP_Emulation.ttcn:614 Message with id 9 was extracted from the queue of RTP. 09:01:16.005507 239 RTP_Emulation.ttcn:614 Message with id 9 was extracted from the queue of RTP. 09:01:16.024514 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.024646 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.024733 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80620009000005A0DEADBEEF01020304'O } 09:01:16.024797 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.025246 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.025339 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.025405 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700009000005A0DEADBEEF01020304'O } 09:01:16.025467 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.025530 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700009000005A0DEADBEEF01020304'O } id 10 09:01:16.025591 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 10 09:01:16.025593 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620009000005A0DEADBEEF01020304'O } id 10 09:01:16.025646 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.025674 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 10 09:01:16.025698 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 10 09:01:16.025749 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.025752 240 RTP_Emulation.ttcn:614 Message with id 10 was extracted from the queue of RTP. 09:01:16.025809 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 9, time_stamp := '00000000000000000000010110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 10 09:01:16.025858 239 RTP_Emulation.ttcn:614 Message with id 10 was extracted from the queue of RTP. 09:01:16.044844 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.044953 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.045033 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000A00000640DEADBEEF01020304'O } 09:01:16.045115 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.045509 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.045572 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.045618 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000A00000640DEADBEEF01020304'O } 09:01:16.045682 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.045754 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000A00000640DEADBEEF01020304'O } id 11 09:01:16.045811 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000A00000640DEADBEEF01020304'O } id 11 09:01:16.045815 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 11 09:01:16.045877 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.045891 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 11 09:01:16.045928 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 11 09:01:16.045950 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.045980 240 RTP_Emulation.ttcn:614 Message with id 11 was extracted from the queue of RTP. 09:01:16.046009 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 10, time_stamp := '00000000000000000000011001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 11 09:01:16.046065 239 RTP_Emulation.ttcn:614 Message with id 11 was extracted from the queue of RTP. 09:01:16.065185 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.065265 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.065320 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062000B000006E0DEADBEEF01020304'O } 09:01:16.065376 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.065735 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.065818 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.065873 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000B000006E0DEADBEEF01020304'O } 09:01:16.065932 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.065998 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000B000006E0DEADBEEF01020304'O } id 12 09:01:16.066048 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000B000006E0DEADBEEF01020304'O } id 12 09:01:16.066058 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 12 09:01:16.066115 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.066129 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 12 09:01:16.066166 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 12 09:01:16.066191 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.066208 240 RTP_Emulation.ttcn:614 Message with id 12 was extracted from the queue of RTP. 09:01:16.066248 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 11, time_stamp := '00000000000000000000011011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 12 09:01:16.066295 239 RTP_Emulation.ttcn:614 Message with id 12 was extracted from the queue of RTP. 09:01:16.085421 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.085514 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.085579 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000C00000780DEADBEEF01020304'O } 09:01:16.085646 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.085978 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.086053 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.086106 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000C00000780DEADBEEF01020304'O } 09:01:16.086165 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.086228 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000C00000780DEADBEEF01020304'O } id 13 09:01:16.086277 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000C00000780DEADBEEF01020304'O } id 13 09:01:16.086287 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 13 09:01:16.086351 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 13 09:01:16.086351 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.086400 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 13 09:01:16.086420 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.086443 240 RTP_Emulation.ttcn:614 Message with id 13 was extracted from the queue of RTP. 09:01:16.086489 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 12, time_stamp := '00000000000000000000011110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 13 09:01:16.086537 239 RTP_Emulation.ttcn:614 Message with id 13 was extracted from the queue of RTP. 09:01:16.105699 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.105804 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.105874 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062000D00000820DEADBEEF01020304'O } 09:01:16.105947 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.106209 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.106285 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.106339 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000D00000820DEADBEEF01020304'O } 09:01:16.106399 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.106462 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000D00000820DEADBEEF01020304'O } id 14 09:01:16.106511 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000D00000820DEADBEEF01020304'O } id 14 09:01:16.106522 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 14 09:01:16.106576 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.106586 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 14 09:01:16.106626 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 14 09:01:16.106651 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.106668 240 RTP_Emulation.ttcn:614 Message with id 14 was extracted from the queue of RTP. 09:01:16.106708 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 13, time_stamp := '00000000000000000000100000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 14 09:01:16.106755 239 RTP_Emulation.ttcn:614 Message with id 14 was extracted from the queue of RTP. 09:01:16.126003 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.126098 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.126167 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000E000008C0DEADBEEF01020304'O } 09:01:16.126237 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.126441 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.126516 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.126570 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000000E000008C0DEADBEEF01020304'O } 09:01:16.126630 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.126706 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000E000008C0DEADBEEF01020304'O } id 15 09:01:16.126742 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000E000008C0DEADBEEF01020304'O } id 15 09:01:16.126784 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 15 09:01:16.126817 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 15 09:01:16.126888 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.126946 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 15 09:01:16.126992 239 RTP_Emulation.ttcn:614 Message with id 15 was extracted from the queue of RTP. 09:01:16.127017 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.127073 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 14, time_stamp := '00000000000000000000100011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 15 09:01:16.127113 240 RTP_Emulation.ttcn:614 Message with id 15 was extracted from the queue of RTP. 09:01:16.146294 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.146405 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.146485 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062000F00000960DEADBEEF01020304'O } 09:01:16.146567 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.146681 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.146753 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.146801 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070000F00000960DEADBEEF01020304'O } 09:01:16.146854 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.146908 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070000F00000960DEADBEEF01020304'O } id 16 09:01:16.146953 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062000F00000960DEADBEEF01020304'O } id 16 09:01:16.146961 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 16 09:01:16.147015 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.147043 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 16 09:01:16.147060 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 16 09:01:16.147095 240 RTP_Emulation.ttcn:614 Message with id 16 was extracted from the queue of RTP. 09:01:16.147120 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.147183 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 15, time_stamp := '00000000000000000000100101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 16 09:01:16.147238 239 RTP_Emulation.ttcn:614 Message with id 16 was extracted from the queue of RTP. 09:01:16.166632 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.166746 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.166827 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001000000A00DEADBEEF01020304'O } 09:01:16.166900 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.166924 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.167046 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.167114 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001000000A00DEADBEEF01020304'O } 09:01:16.167194 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.167270 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001000000A00DEADBEEF01020304'O } id 17 09:01:16.167290 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001000000A00DEADBEEF01020304'O } id 17 09:01:16.167337 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 17 09:01:16.167373 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 17 09:01:16.167391 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.167434 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.167443 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 17 09:01:16.167487 240 RTP_Emulation.ttcn:614 Message with id 17 was extracted from the queue of RTP. 09:01:16.167490 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 16, time_stamp := '00000000000000000000101000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 17 09:01:16.167543 239 RTP_Emulation.ttcn:614 Message with id 17 was extracted from the queue of RTP. 09:01:16.187051 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.187148 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.187204 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001100000AA0DEADBEEF01020304'O } 09:01:16.187249 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.187263 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.187342 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.187400 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001100000AA0DEADBEEF01020304'O } 09:01:16.187461 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.187526 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001100000AA0DEADBEEF01020304'O } id 18 09:01:16.187567 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001100000AA0DEADBEEF01020304'O } id 18 09:01:16.187586 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 18 09:01:16.187626 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 18 09:01:16.187636 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.187674 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.187678 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 18 09:01:16.187719 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 17, time_stamp := '00000000000000000000101010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 18 09:01:16.187720 240 RTP_Emulation.ttcn:614 Message with id 18 was extracted from the queue of RTP. 09:01:16.187758 239 RTP_Emulation.ttcn:614 Message with id 18 was extracted from the queue of RTP. 09:01:16.207309 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.207413 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.207504 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.207518 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001200000B40DEADBEEF01020304'O } 09:01:16.207572 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.207584 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.207627 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001200000B40DEADBEEF01020304'O } 09:01:16.207678 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.207788 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001200000B40DEADBEEF01020304'O } id 19 09:01:16.207809 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001200000B40DEADBEEF01020304'O } id 19 09:01:16.207838 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 19 09:01:16.207876 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 19 09:01:16.207880 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.207925 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 19 09:01:16.207931 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.207959 240 RTP_Emulation.ttcn:614 Message with id 19 was extracted from the queue of RTP. 09:01:16.207982 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 18, time_stamp := '00000000000000000000101101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 19 09:01:16.208027 239 RTP_Emulation.ttcn:614 Message with id 19 was extracted from the queue of RTP. 09:01:16.227630 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.227719 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.227720 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.227775 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001300000BE0DEADBEEF01020304'O } 09:01:16.227805 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.227835 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.227858 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001300000BE0DEADBEEF01020304'O } 09:01:16.227916 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.228024 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001300000BE0DEADBEEF01020304'O } id 20 09:01:16.228036 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001300000BE0DEADBEEF01020304'O } id 20 09:01:16.228083 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 20 09:01:16.228090 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 20 09:01:16.228130 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.228136 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.228175 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 20 09:01:16.228181 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 19, time_stamp := '00000000000000000000101111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 20 09:01:16.228229 239 RTP_Emulation.ttcn:614 Message with id 20 was extracted from the queue of RTP. 09:01:16.228229 240 RTP_Emulation.ttcn:614 Message with id 20 was extracted from the queue of RTP. 09:01:16.247881 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.247960 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.247975 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.248028 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001400000C80DEADBEEF01020304'O } 09:01:16.248043 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.248090 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.248096 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001400000C80DEADBEEF01020304'O } 09:01:16.248159 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.248279 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001400000C80DEADBEEF01020304'O } id 21 09:01:16.248289 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001400000C80DEADBEEF01020304'O } id 21 09:01:16.248339 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 21 09:01:16.248346 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 21 09:01:16.248390 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.248396 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.248434 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 21 09:01:16.248442 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 20, time_stamp := '00000000000000000000110010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 21 09:01:16.248472 240 RTP_Emulation.ttcn:614 Message with id 21 was extracted from the queue of RTP. 09:01:16.248479 239 RTP_Emulation.ttcn:614 Message with id 21 was extracted from the queue of RTP. 09:01:16.268135 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.268204 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.268225 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.268279 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001500000D20DEADBEEF01020304'O } 09:01:16.268285 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.268339 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.268339 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001500000D20DEADBEEF01020304'O } 09:01:16.268400 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.268527 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001500000D20DEADBEEF01020304'O } id 22 09:01:16.268539 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001500000D20DEADBEEF01020304'O } id 22 09:01:16.268586 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 22 09:01:16.268594 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 22 09:01:16.268645 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.268654 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.268690 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 22 09:01:16.268698 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 21, time_stamp := '00000000000000000000110100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 22 09:01:16.268728 240 RTP_Emulation.ttcn:614 Message with id 22 was extracted from the queue of RTP. 09:01:16.268735 239 RTP_Emulation.ttcn:614 Message with id 22 was extracted from the queue of RTP. 09:01:16.288393 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.288445 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.288496 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.288529 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.288572 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001600000DC0DEADBEEF01020304'O } 09:01:16.288584 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001600000DC0DEADBEEF01020304'O } 09:01:16.288636 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.288640 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.288823 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001600000DC0DEADBEEF01020304'O } id 23 09:01:16.288833 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001600000DC0DEADBEEF01020304'O } id 23 09:01:16.288886 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 23 09:01:16.288891 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 23 09:01:16.288936 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.288944 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.288983 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 23 09:01:16.288990 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 22, time_stamp := '00000000000000000000110111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 23 09:01:16.289022 239 RTP_Emulation.ttcn:614 Message with id 23 was extracted from the queue of RTP. 09:01:16.289029 240 RTP_Emulation.ttcn:614 Message with id 23 was extracted from the queue of RTP. 09:01:16.308683 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.308688 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.308779 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.308787 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.308836 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001700000E60DEADBEEF01020304'O } 09:01:16.308841 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001700000E60DEADBEEF01020304'O } 09:01:16.308899 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.308904 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.309084 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001700000E60DEADBEEF01020304'O } id 24 09:01:16.309094 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001700000E60DEADBEEF01020304'O } id 24 09:01:16.309146 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 24 09:01:16.309161 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 24 09:01:16.309206 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.309213 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.309254 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 24 09:01:16.309260 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 23, time_stamp := '00000000000000000000111001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 24 09:01:16.309294 239 RTP_Emulation.ttcn:614 Message with id 24 was extracted from the queue of RTP. 09:01:16.309301 240 RTP_Emulation.ttcn:614 Message with id 24 was extracted from the queue of RTP. 09:01:16.328948 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.328951 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.329049 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.329054 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.329108 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001800000F00DEADBEEF01020304'O } 09:01:16.329112 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001800000F00DEADBEEF01020304'O } 09:01:16.329171 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.329175 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.329362 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001800000F00DEADBEEF01020304'O } id 25 09:01:16.329371 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001800000F00DEADBEEF01020304'O } id 25 09:01:16.329424 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 25 09:01:16.329429 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 25 09:01:16.329474 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.329480 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.329519 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 25 09:01:16.329526 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 24, time_stamp := '00000000000000000000111100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 25 09:01:16.329557 239 RTP_Emulation.ttcn:614 Message with id 25 was extracted from the queue of RTP. 09:01:16.329564 240 RTP_Emulation.ttcn:614 Message with id 25 was extracted from the queue of RTP. 09:01:16.349219 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.349223 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.349319 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.349348 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.349375 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001900000FA0DEADBEEF01020304'O } 09:01:16.349412 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001900000FA0DEADBEEF01020304'O } 09:01:16.349446 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.349486 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.349630 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001900000FA0DEADBEEF01020304'O } id 26 09:01:16.349644 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001900000FA0DEADBEEF01020304'O } id 26 09:01:16.349692 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 26 09:01:16.349712 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 26 09:01:16.349756 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.349767 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.349803 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 26 09:01:16.349808 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 25, time_stamp := '00000000000000000000111110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 26 09:01:16.349842 240 RTP_Emulation.ttcn:614 Message with id 26 was extracted from the queue of RTP. 09:01:16.349848 239 RTP_Emulation.ttcn:614 Message with id 26 was extracted from the queue of RTP. 09:01:16.369493 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.369534 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.369586 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.369623 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.369643 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001A00001040DEADBEEF01020304'O } 09:01:16.369681 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001A00001040DEADBEEF01020304'O } 09:01:16.369712 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.369753 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.369897 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001A00001040DEADBEEF01020304'O } id 27 09:01:16.369911 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001A00001040DEADBEEF01020304'O } id 27 09:01:16.369957 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 27 09:01:16.369971 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 27 09:01:16.370005 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.370023 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.370050 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 27 09:01:16.370068 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 26, time_stamp := '00000000000000000001000001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 27 09:01:16.370088 240 RTP_Emulation.ttcn:614 Message with id 27 was extracted from the queue of RTP. 09:01:16.370106 239 RTP_Emulation.ttcn:614 Message with id 27 was extracted from the queue of RTP. 09:01:16.389762 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.389803 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.389847 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.389913 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001B000010E0DEADBEEF01020304'O } 09:01:16.389917 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.389975 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.389993 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001B000010E0DEADBEEF01020304'O } 09:01:16.390055 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.390161 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001B000010E0DEADBEEF01020304'O } id 28 09:01:16.390174 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001B000010E0DEADBEEF01020304'O } id 28 09:01:16.390223 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 28 09:01:16.390229 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 28 09:01:16.390272 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.390280 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.390317 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 28 09:01:16.390323 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 27, time_stamp := '00000000000000000001000011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 28 09:01:16.390356 240 RTP_Emulation.ttcn:614 Message with id 28 was extracted from the queue of RTP. 09:01:16.390363 239 RTP_Emulation.ttcn:614 Message with id 28 was extracted from the queue of RTP. 09:01:16.410041 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.410102 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.410191 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.410249 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001C00001180DEADBEEF01020304'O } 09:01:16.410310 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.410363 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.410505 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001C00001180DEADBEEF01020304'O } 09:01:16.410613 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.410703 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001C00001180DEADBEEF01020304'O } id 29 09:01:16.410771 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001C00001180DEADBEEF01020304'O } id 29 09:01:16.410784 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 29 09:01:16.410832 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 29 09:01:16.410849 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.410881 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.410908 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 29 09:01:16.410928 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 28, time_stamp := '00000000000000000001000110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 29 09:01:16.410973 239 RTP_Emulation.ttcn:614 Message with id 29 was extracted from the queue of RTP. 09:01:16.410978 240 RTP_Emulation.ttcn:614 Message with id 29 was extracted from the queue of RTP. 09:01:16.430356 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.430451 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.430509 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001D00001220DEADBEEF01020304'O } 09:01:16.430573 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.430671 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.430775 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.430840 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001D00001220DEADBEEF01020304'O } 09:01:16.430909 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.430979 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001D00001220DEADBEEF01020304'O } id 30 09:01:16.431045 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 30 09:01:16.431074 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001D00001220DEADBEEF01020304'O } id 30 09:01:16.431098 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.431135 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 30 09:01:16.431149 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 30 09:01:16.431184 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.431195 239 RTP_Emulation.ttcn:614 Message with id 30 was extracted from the queue of RTP. 09:01:16.431229 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 29, time_stamp := '00000000000000000001001000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 30 09:01:16.431268 240 RTP_Emulation.ttcn:614 Message with id 30 was extracted from the queue of RTP. 09:01:16.450620 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.450717 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.450776 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001E000012C0DEADBEEF01020304'O } 09:01:16.450840 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.450960 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.451066 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.451130 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000001E000012C0DEADBEEF01020304'O } 09:01:16.451200 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.451270 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001E000012C0DEADBEEF01020304'O } id 31 09:01:16.451335 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 31 09:01:16.451366 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001E000012C0DEADBEEF01020304'O } id 31 09:01:16.451400 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.451428 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 31 09:01:16.451453 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 31 09:01:16.451489 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.451497 239 RTP_Emulation.ttcn:614 Message with id 31 was extracted from the queue of RTP. 09:01:16.451536 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 30, time_stamp := '00000000000000000001001011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 31 09:01:16.451576 240 RTP_Emulation.ttcn:614 Message with id 31 was extracted from the queue of RTP. 09:01:16.470888 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.470982 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.471040 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070001F00001360DEADBEEF01020304'O } 09:01:16.471104 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.471252 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.471356 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.471420 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062001F00001360DEADBEEF01020304'O } 09:01:16.471490 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.471559 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062001F00001360DEADBEEF01020304'O } id 32 09:01:16.471623 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 32 09:01:16.471677 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.471682 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070001F00001360DEADBEEF01020304'O } id 32 09:01:16.471727 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 32 09:01:16.471746 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 32 09:01:16.471772 239 RTP_Emulation.ttcn:614 Message with id 32 was extracted from the queue of RTP. 09:01:16.471797 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.471844 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 31, time_stamp := '00000000000000000001001101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 32 09:01:16.471882 240 RTP_Emulation.ttcn:614 Message with id 32 was extracted from the queue of RTP. 09:01:16.491153 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.491250 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.491311 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002000001400DEADBEEF01020304'O } 09:01:16.491384 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.491541 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.491646 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.491709 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002000001400DEADBEEF01020304'O } 09:01:16.491777 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.491846 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002000001400DEADBEEF01020304'O } id 33 09:01:16.491909 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 33 09:01:16.491949 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002000001400DEADBEEF01020304'O } id 33 09:01:16.491972 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.492024 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 33 09:01:16.492028 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 33 09:01:16.492069 239 RTP_Emulation.ttcn:614 Message with id 33 was extracted from the queue of RTP. 09:01:16.492089 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.492135 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 32, time_stamp := '00000000000000000001010000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 33 09:01:16.492174 240 RTP_Emulation.ttcn:614 Message with id 33 was extracted from the queue of RTP. 09:01:16.511448 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.511543 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.511600 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700021000014A0DEADBEEF01020304'O } 09:01:16.511664 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.511828 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.511932 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.511995 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80620021000014A0DEADBEEF01020304'O } 09:01:16.512062 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.512131 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620021000014A0DEADBEEF01020304'O } id 34 09:01:16.512198 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 34 09:01:16.512228 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700021000014A0DEADBEEF01020304'O } id 34 09:01:16.512249 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.512290 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 34 09:01:16.512299 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 34 09:01:16.512339 239 RTP_Emulation.ttcn:614 Message with id 34 was extracted from the queue of RTP. 09:01:16.512342 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.512392 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 33, time_stamp := '00000000000000000001010010100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 34 09:01:16.512430 240 RTP_Emulation.ttcn:614 Message with id 34 was extracted from the queue of RTP. 09:01:16.531712 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.531825 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.531889 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002200001540DEADBEEF01020304'O } 09:01:16.531963 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.532113 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.532214 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.532275 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002200001540DEADBEEF01020304'O } 09:01:16.532355 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.532423 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002200001540DEADBEEF01020304'O } id 35 09:01:16.532487 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 35 09:01:16.532520 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002200001540DEADBEEF01020304'O } id 35 09:01:16.532538 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.532583 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 35 09:01:16.532587 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 35 09:01:16.532631 239 RTP_Emulation.ttcn:614 Message with id 35 was extracted from the queue of RTP. 09:01:16.532634 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.532684 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 34, time_stamp := '00000000000000000001010101000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 35 09:01:16.532724 240 RTP_Emulation.ttcn:614 Message with id 35 was extracted from the queue of RTP. 09:01:16.552011 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.552109 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.552166 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700023000015E0DEADBEEF01020304'O } 09:01:16.552230 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.552405 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.552507 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.552568 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80620023000015E0DEADBEEF01020304'O } 09:01:16.552635 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.552705 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620023000015E0DEADBEEF01020304'O } id 36 09:01:16.552767 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 36 09:01:16.552807 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700023000015E0DEADBEEF01020304'O } id 36 09:01:16.552817 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.552863 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 36 09:01:16.552869 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 36 09:01:16.552907 239 RTP_Emulation.ttcn:614 Message with id 36 was extracted from the queue of RTP. 09:01:16.552923 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.552969 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 35, time_stamp := '00000000000000000001010111100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 36 09:01:16.553007 240 RTP_Emulation.ttcn:614 Message with id 36 was extracted from the queue of RTP. 09:01:16.572280 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.572380 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.572453 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002400001680DEADBEEF01020304'O } 09:01:16.572518 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.572688 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.572790 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.572861 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002400001680DEADBEEF01020304'O } 09:01:16.572928 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.572996 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002400001680DEADBEEF01020304'O } id 37 09:01:16.573058 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 37 09:01:16.573096 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002400001680DEADBEEF01020304'O } id 37 09:01:16.573109 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.573156 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 37 09:01:16.573159 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 37 09:01:16.573203 239 RTP_Emulation.ttcn:614 Message with id 37 was extracted from the queue of RTP. 09:01:16.573204 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.573256 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 36, time_stamp := '00000000000000000001011010000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 37 09:01:16.573294 240 RTP_Emulation.ttcn:614 Message with id 37 was extracted from the queue of RTP. 09:01:16.592569 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.592681 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.592745 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002500001720DEADBEEF01020304'O } 09:01:16.592819 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.592978 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.593091 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.593158 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062002500001720DEADBEEF01020304'O } 09:01:16.593226 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.593295 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002500001720DEADBEEF01020304'O } id 38 09:01:16.593358 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 38 09:01:16.593399 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002500001720DEADBEEF01020304'O } id 38 09:01:16.593410 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.593462 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 38 09:01:16.593472 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 38 09:01:16.593515 239 RTP_Emulation.ttcn:614 Message with id 38 was extracted from the queue of RTP. 09:01:16.593527 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.593577 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 37, time_stamp := '00000000000000000001011100100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 38 09:01:16.593633 240 RTP_Emulation.ttcn:614 Message with id 38 was extracted from the queue of RTP. 09:01:16.612881 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.612998 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.613063 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80000026000017C0DEADBEEF01020304'O } 09:01:16.613135 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.613277 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.613381 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.613445 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80000026000017C0DEADBEEF01020304'O } 09:01:16.613514 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.613582 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620026000017C0DEADBEEF01020304'O } id 39 09:01:16.613646 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 39 09:01:16.613686 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700026000017C0DEADBEEF01020304'O } id 39 09:01:16.613698 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.613758 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 39 09:01:16.613766 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 39 09:01:16.613801 239 RTP_Emulation.ttcn:614 Message with id 39 was extracted from the queue of RTP. 09:01:16.613816 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.613862 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 38, time_stamp := '00000000000000000001011111000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 39 09:01:16.613901 240 RTP_Emulation.ttcn:614 Message with id 39 was extracted from the queue of RTP. 09:01:16.633188 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.633286 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.633340 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002700001860DEADBEEF01020304'O } 09:01:16.633402 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.633564 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.633664 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.633745 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062002700001860DEADBEEF01020304'O } 09:01:16.633813 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.633882 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002700001860DEADBEEF01020304'O } id 40 09:01:16.633944 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 40 09:01:16.633982 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002700001860DEADBEEF01020304'O } id 40 09:01:16.634006 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.634044 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 40 09:01:16.634060 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 40 09:01:16.634102 239 RTP_Emulation.ttcn:614 Message with id 40 was extracted from the queue of RTP. 09:01:16.634104 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.634155 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 39, time_stamp := '00000000000000000001100001100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 40 09:01:16.634193 240 RTP_Emulation.ttcn:614 Message with id 40 was extracted from the queue of RTP. 09:01:16.653448 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.653550 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.653607 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002800001900DEADBEEF01020304'O } 09:01:16.653670 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.653864 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.653970 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.654033 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002800001900DEADBEEF01020304'O } 09:01:16.654101 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.654171 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002800001900DEADBEEF01020304'O } id 41 09:01:16.654236 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 41 09:01:16.654273 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002800001900DEADBEEF01020304'O } id 41 09:01:16.654289 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.654337 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 41 09:01:16.654338 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 41 09:01:16.654385 239 RTP_Emulation.ttcn:614 Message with id 41 was extracted from the queue of RTP. 09:01:16.654388 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.654439 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 40, time_stamp := '00000000000000000001100100000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 41 09:01:16.654478 240 RTP_Emulation.ttcn:614 Message with id 41 was extracted from the queue of RTP. 09:01:16.673731 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.673820 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.673877 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80700029000019A0DEADBEEF01020304'O } 09:01:16.673940 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.674152 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.674256 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.674318 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '80620029000019A0DEADBEEF01020304'O } 09:01:16.674385 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.674455 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '80620029000019A0DEADBEEF01020304'O } id 42 09:01:16.674520 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 42 09:01:16.674556 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '80700029000019A0DEADBEEF01020304'O } id 42 09:01:16.674584 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.674627 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 42 09:01:16.674638 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 42 09:01:16.674675 239 RTP_Emulation.ttcn:614 Message with id 42 was extracted from the queue of RTP. 09:01:16.674679 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.674728 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 41, time_stamp := '00000000000000000001100110100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 42 09:01:16.674767 240 RTP_Emulation.ttcn:614 Message with id 42 was extracted from the queue of RTP. 09:01:16.693987 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.694074 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.694128 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002A00001A40DEADBEEF01020304'O } 09:01:16.694190 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.694437 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.694546 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.694611 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002A00001A40DEADBEEF01020304'O } 09:01:16.694679 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.694749 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002A00001A40DEADBEEF01020304'O } id 43 09:01:16.694821 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 43 09:01:16.694851 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002A00001A40DEADBEEF01020304'O } id 43 09:01:16.694874 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.694918 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 43 09:01:16.694927 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 43 09:01:16.694967 239 RTP_Emulation.ttcn:614 Message with id 43 was extracted from the queue of RTP. 09:01:16.694971 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.695020 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 42, time_stamp := '00000000000000000001101001000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 43 09:01:16.695085 240 RTP_Emulation.ttcn:614 Message with id 43 was extracted from the queue of RTP. 09:01:16.714236 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.714329 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.714387 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002B00001AE0DEADBEEF01020304'O } 09:01:16.714460 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.714731 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.714840 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.714905 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062002B00001AE0DEADBEEF01020304'O } 09:01:16.714984 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.715057 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002B00001AE0DEADBEEF01020304'O } id 44 09:01:16.715123 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 44 09:01:16.715156 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002B00001AE0DEADBEEF01020304'O } id 44 09:01:16.715177 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.715216 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 44 09:01:16.715230 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 44 09:01:16.715265 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.715272 239 RTP_Emulation.ttcn:614 Message with id 44 was extracted from the queue of RTP. 09:01:16.715311 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 43, time_stamp := '00000000000000000001101011100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 44 09:01:16.715350 240 RTP_Emulation.ttcn:614 Message with id 44 was extracted from the queue of RTP. 09:01:16.734509 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.734623 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.734686 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002C00001B80DEADBEEF01020304'O } 09:01:16.734749 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.735039 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.735139 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.735202 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002C00001B80DEADBEEF01020304'O } 09:01:16.735269 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.735338 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002C00001B80DEADBEEF01020304'O } id 45 09:01:16.735402 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 45 09:01:16.735438 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002C00001B80DEADBEEF01020304'O } id 45 09:01:16.735453 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.735499 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 45 09:01:16.735506 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 45 09:01:16.735547 239 RTP_Emulation.ttcn:614 Message with id 45 was extracted from the queue of RTP. 09:01:16.735548 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.735601 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 44, time_stamp := '00000000000000000001101110000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 45 09:01:16.735640 240 RTP_Emulation.ttcn:614 Message with id 45 was extracted from the queue of RTP. 09:01:16.754798 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.754916 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.754976 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002D00001C20DEADBEEF01020304'O } 09:01:16.755040 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.755320 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.755425 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.755497 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062002D00001C20DEADBEEF01020304'O } 09:01:16.755566 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.755638 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002D00001C20DEADBEEF01020304'O } id 46 09:01:16.755703 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 46 09:01:16.755738 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002D00001C20DEADBEEF01020304'O } id 46 09:01:16.755757 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.755799 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 46 09:01:16.755806 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 46 09:01:16.755848 239 RTP_Emulation.ttcn:614 Message with id 46 was extracted from the queue of RTP. 09:01:16.755849 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.755901 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 45, time_stamp := '00000000000000000001110000100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 46 09:01:16.755940 240 RTP_Emulation.ttcn:614 Message with id 46 was extracted from the queue of RTP. 09:01:16.775087 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.775180 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.775237 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002E00001CC0DEADBEEF01020304'O } 09:01:16.775300 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.775621 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.775724 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.775786 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000002E00001CC0DEADBEEF01020304'O } 09:01:16.775854 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.775924 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002E00001CC0DEADBEEF01020304'O } id 47 09:01:16.775990 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 47 09:01:16.776023 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002E00001CC0DEADBEEF01020304'O } id 47 09:01:16.776042 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.776084 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 47 09:01:16.776092 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 47 09:01:16.776134 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.776143 239 RTP_Emulation.ttcn:614 Message with id 47 was extracted from the queue of RTP. 09:01:16.776180 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 46, time_stamp := '00000000000000000001110011000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 47 09:01:16.776229 240 RTP_Emulation.ttcn:614 Message with id 47 was extracted from the queue of RTP. 09:01:16.795347 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.795447 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.795504 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8070002F00001D60DEADBEEF01020304'O } 09:01:16.795568 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.795905 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.796051 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.796146 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8062002F00001D60DEADBEEF01020304'O } 09:01:16.796216 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.796325 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062002F00001D60DEADBEEF01020304'O } id 48 09:01:16.796364 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070002F00001D60DEADBEEF01020304'O } id 48 09:01:16.796392 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 48 09:01:16.796439 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 48 09:01:16.796452 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.796498 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 48 09:01:16.796498 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.796540 239 RTP_Emulation.ttcn:614 Message with id 48 was extracted from the queue of RTP. 09:01:16.796554 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 47, time_stamp := '00000000000000000001110101100000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 48 09:01:16.796597 240 RTP_Emulation.ttcn:614 Message with id 48 was extracted from the queue of RTP. 09:01:16.815615 240 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.815705 240 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.815793 240 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000003000001E00DEADBEEF01020304'O } 09:01:16.815862 240 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.816307 239 RTP_Emulation.ttcn:654 Timeout T_transmit: 0.02 s 09:01:16.816439 239 RTP_Emulation.ttcn:371 Sent on RTP to system @RTP_CodecPort.RTP_Send : { connId := 1, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 0, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } 09:01:16.816529 239 RTP_Emulation.ttcn:371 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '8000003000001E00DEADBEEF01020304'O } 09:01:16.816603 239 RTP_Emulation.ttcn:659 Start timer T_transmit: 0.02 s 09:01:16.816673 239 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, proto := { udp := { } }, userData := 0, msg := '8062003000001E00DEADBEEF01020304'O } id 49 09:01:16.816737 239 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 49 09:01:16.816773 240 RTP_Emulation.ttcn:458 Message enqueued on RTP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, proto := { udp := { } }, userData := 0, msg := '8070003000001E00DEADBEEF01020304'O } id 49 09:01:16.816804 239 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.816836 240 RTP_Emulation.ttcn:458 Incoming message was mapped to @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 49 09:01:16.816854 239 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5848, locName := "127.0.0.1", locPort := 10000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 98, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 49 09:01:16.816896 239 RTP_Emulation.ttcn:614 Message with id 49 was extracted from the queue of RTP. 09:01:16.816899 240 RTP_Emulation.ttcn:614 Matching on port RTP succeeded: matched 09:01:16.816951 240 RTP_Emulation.ttcn:614 Receive operation on port RTP succeeded, message from system(): @RTP_CodecPort.RTP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 5850, locName := "127.0.0.1", locPort := 20000, msg := { rtp := { version := 2, padding_ind := '0'B, extension_ind := '0'B, CSRC_count := 0, marker_bit := '0'B, payload_type := 112, sequence_number := 48, time_stamp := '00000000000000000001111000000000'B, SSRC_id := '11011110101011011011111011101111'B, CSRCs := omit, ext_header := omit, data := '01020304'O } } } id 49 09:01:16.816992 240 RTP_Emulation.ttcn:614 Message with id 49 was extracted from the queue of RTP. 09:01:16.822360 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 09:01:16.822448 mtc RTP_Emulation.ttcn:211 Called on RTPEM[1] to RTPEM1(240) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } 09:01:16.822551 240 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 5 09:01:16.822617 240 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:16.822657 240 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:16.822694 240 RTP_Emulation.ttcn:525 Matching on port CTRL succeeded: { mode := RTPEM_MODE_NONE (0) with RTPEM_MODE_NONE (0) matched } 09:01:16.822736 240 RTP_Emulation.ttcn:525 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 1 09:01:16.822778 240 RTP_Emulation.ttcn:525 Operation with id 5 was extracted from the queue of CTRL. 09:01:16.822846 240 RTP_Emulation.ttcn:526 Stop timer T_transmit: 0.02 s 09:01:16.822888 240 RTP_Emulation.ttcn:529 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 09:01:16.822940 240 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 09:01:16.822978 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[1] from RTPEM1(240) @RTP_Emulation.RTPEM_mode : { } id 5 09:01:16.823046 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[1] succeeded: { } with { } matched 09:01:16.823088 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(240): @RTP_Emulation.RTPEM_mode : { } id 1 09:01:16.823129 mtc RTP_Emulation.ttcn:212 Operation with id 5 was extracted from the queue of RTPEM[1]. 09:01:16.823174 mtc RTP_Emulation.ttcn:211 Called on RTPEM[0] to RTPEM0(239) @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } 09:01:16.823251 239 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 5 09:01:16.823315 239 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:16.823354 239 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:16.823389 239 RTP_Emulation.ttcn:525 Matching on port CTRL succeeded: { mode := RTPEM_MODE_NONE (0) with RTPEM_MODE_NONE (0) matched } 09:01:16.823427 239 RTP_Emulation.ttcn:525 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_mode : { mode := RTPEM_MODE_NONE (0) } id 1 09:01:16.823464 239 RTP_Emulation.ttcn:525 Operation with id 5 was extracted from the queue of CTRL. 09:01:16.823533 239 RTP_Emulation.ttcn:526 Stop timer T_transmit: 0.02 s 09:01:16.823575 239 RTP_Emulation.ttcn:529 Replied on CTRL to mtc @RTP_Emulation.RTPEM_mode : { } 09:01:16.823625 239 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 09:01:16.823642 mtc RTP_Emulation.ttcn:211 Reply enqueued on RTPEM[0] from RTPEM0(239) @RTP_Emulation.RTPEM_mode : { } id 5 09:01:16.823702 mtc RTP_Emulation.ttcn:212 Matching on port RTPEM[0] succeeded: { } with { } matched 09:01:16.823741 mtc RTP_Emulation.ttcn:212 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(239): @RTP_Emulation.RTPEM_mode : { } id 1 09:01:16.823778 mtc RTP_Emulation.ttcn:212 Operation with id 5 was extracted from the queue of RTPEM[0]. 09:01:16.823815 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 09:01:16.923854 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 09:01:16.924027 mtc MGCP_Test.ttcn:270 Sent on MGCP to system @MGCP_CodecPort.MGCP_Send : { connId := 1, msg := { command := { line := { verb := "DLCX", trans_id := "13936", ep := "rtpbridge/2@mgw", ver := "1.0" }, params := { { code := "C", val := "1226" } }, sdp := omit } } } 09:01:16.924126 mtc MGCP_Test.ttcn:270 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { udp := { } }, msg := '444C4358203133393336207274706272696467652F32406D6777204D47435020312E300D0A433A20313232360D0A'O ("DLCX 13936 rtpbridge/2@mgw MGCP 1.0\r C: 1226\r ") } 09:01:16.924195 mtc MGCP_Test.ttcn:271 Start timer T: 5 s 09:01:16.924502 mtc MGCP_Test.ttcn:272 Message enqueued on MGCP from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, proto := { udp := { } }, userData := 0, msg := '323030203133393336204F4B0D0A'O ("200 13936 OK\r ") } id 4 09:01:16.924625 mtc MGCP_Test.ttcn:272 Incoming message was mapped to @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "13936", string := "OK" }, params := { }, sdp := omit } } } id 4 09:01:16.924680 mtc MGCP_Test.ttcn:273 Matching on port MGCP succeeded: matched 09:01:16.924728 mtc MGCP_Test.ttcn:273 Receive operation on port MGCP succeeded, message from system(): @MGCP_CodecPort.MGCP_RecvFrom : { connId := 1, remName := "127.0.0.1", remPort := 2427, locName := "127.0.0.1", locPort := 2727, msg := { response := { line := { code := "200", trans_id := "13936", string := "OK" }, params := { }, sdp := omit } } } id 4 09:01:16.924768 mtc MGCP_Test.ttcn:273 Message with id 4 was extracted from the queue of MGCP. 09:01:16.924805 mtc MGCP_Test.ttcn:284 Stop timer T: 5 s 09:01:16.924857 mtc RTP_Emulation.ttcn:222 Called on RTPEM[0] to RTPEM0(239) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 09:01:16.924966 239 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 6 09:01:16.925045 239 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:16.925086 239 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:16.925123 239 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.925159 239 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.925193 239 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.925228 239 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.925262 239 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.925295 239 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 09:01:16.925343 239 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 09:01:16.925390 239 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 09:01:16.925426 239 RTP_Emulation.ttcn:577 Operation with id 6 was extracted from the queue of CTRL. 09:01:16.925490 239 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 09:01:16.925545 239 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 09:01:16.925556 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[0] from RTPEM0(239) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 6 09:01:16.925597 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[0] succeeded: { stats := matched } 09:01:16.925638 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[0] succeeded, reply from RTPEM0(239): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 09:01:16.925676 mtc RTP_Emulation.ttcn:223 Operation with id 6 was extracted from the queue of RTPEM[0]. 09:01:16.925725 mtc RTP_Emulation.ttcn:222 Called on RTPEM[1] to RTPEM1(240) @RTP_Emulation.RTPEM_stats_get : { rtcp := false } 09:01:16.925813 240 RTP_Emulation.ttcn:458 Call enqueued on CTRL from mtc @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 6 09:01:16.925892 240 RTP_Emulation.ttcn:460 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_bind. 09:01:16.925934 240 RTP_Emulation.ttcn:496 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_connect. 09:01:16.925972 240 RTP_Emulation.ttcn:525 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.926008 240 RTP_Emulation.ttcn:531 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.926043 240 RTP_Emulation.ttcn:538 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.926079 240 RTP_Emulation.ttcn:550 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.926115 240 RTP_Emulation.ttcn:561 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_mode. 09:01:16.926150 240 RTP_Emulation.ttcn:572 Matching on port CTRL failed: The first entity in the queue is not a call for signature @RTP_Emulation.RTPEM_configure. 09:01:16.926203 240 RTP_Emulation.ttcn:577 Matching on port CTRL succeeded: { rtcp := false with ? matched } 09:01:16.926254 240 RTP_Emulation.ttcn:577 Getcall operation on port CTRL succeeded, call from mtc: @RTP_Emulation.RTPEM_stats_get : { rtcp := false } id 1 09:01:16.926293 240 RTP_Emulation.ttcn:577 Operation with id 6 was extracted from the queue of CTRL. 09:01:16.926358 240 RTP_Emulation.ttcn:581 Replied on CTRL to mtc @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } 09:01:16.926416 240 RTP_Emulation.ttcn:654 Timeout operation on timer T_transmit failed: The timer is not started. 09:01:16.926425 mtc RTP_Emulation.ttcn:222 Reply enqueued on RTPEM[1] from RTPEM1(240) @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 6 09:01:16.926467 mtc RTP_Emulation.ttcn:223 Matching on port RTPEM[1] succeeded: { stats := matched } 09:01:16.926509 mtc RTP_Emulation.ttcn:223 Getreply operation on port RTPEM[1] succeeded, reply from RTPEM1(240): @RTP_Emulation.RTPEM_stats_get : { stats := { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } } id 1 09:01:16.926547 mtc RTP_Emulation.ttcn:223 Operation with id 6 was extracted from the queue of RTPEM[1]. 09:01:16.926583 mtc RTP_Emulation.ttcn:250 stats A: { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } 09:01:16.926642 mtc RTP_Emulation.ttcn:251 stats B: { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } 09:01:16.926694 mtc RTP_Emulation.ttcn:252 tolerance: 3 packets 09:01:16.926737 mtc RTP_Emulation.ttcn:284 stats: { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } 09:01:16.926795 mtc RTP_Emulation.ttcn:284 stats: { num_pkts_tx := 49, bytes_payload_tx := 196, num_pkts_rx := 49, bytes_payload_rx := 196, num_pkts_rx_err_seq := 0, num_pkts_rx_err_ts := 0, num_pkts_rx_err_pt := 0, num_pkts_rx_err_disabled := 0, num_pkts_rx_err_payload := 0 } 09:01:16.926849 mtc MGCP_Test.ttcn:2026 setverdict(pass): none -> pass 09:01:16.926912 mtc MGCP_Test.ttcn:2047 Terminating component type MGCP_Test.dummy_CT. 09:01:16.926961 mtc MGCP_Test.ttcn:2047 Removing unterminated connection between port STATSD_PROC and VirtCallAgent-STATS(242):STATSD_PROC. 09:01:16.927049 mtc MGCP_Test.ttcn:2047 Port STATSD_PROC was stopped. 09:01:16.927070 242 StatsD_Checker.ttcnpp:135 Connection of port STATSD_PROC to mtc:STATSD_PROC was closed unexpectedly by the peer. 09:01:16.927121 mtc MGCP_Test.ttcn:2047 Removing unterminated connection between port IPA_CTRL and IPA-CTRL-CLI-IPA(243):IPA_CTRL_PORT. 09:01:16.927146 242 StatsD_Checker.ttcnpp:135 Port STATSD_PROC was disconnected from mtc:STATSD_PROC. 09:01:16.927177 mtc MGCP_Test.ttcn:2047 Port IPA_CTRL was stopped. 09:01:16.927197 243 IPA_Emulation.ttcnpp:735 Connection of port IPA_CTRL_PORT to mtc:IPA_CTRL was closed unexpectedly by the peer. 09:01:16.927229 mtc MGCP_Test.ttcn:2047 Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 09:01:16.927246 243 IPA_Emulation.ttcnpp:735 Port IPA_CTRL_PORT was disconnected from mtc:IPA_CTRL. 09:01:16.927280 mtc MGCP_Test.ttcn:2047 Port MGCP was unmapped from system:MGCP_CODEC_PT. 09:01:16.927324 mtc MGCP_Test.ttcn:2047 Port MGCP was stopped. 09:01:16.927358 mtc MGCP_Test.ttcn:2047 Removing unterminated connection between port RTPEM[0] and RTPEM0(239):CTRL. 09:01:16.927407 mtc MGCP_Test.ttcn:2047 Port RTPEM[0] was stopped. 09:01:16.927424 239 RTP_Emulation.ttcn:458 Connection of port CTRL to mtc:RTPEM[0] was closed unexpectedly by the peer. 09:01:16.927460 mtc MGCP_Test.ttcn:2047 Removing unterminated connection between port RTPEM[1] and RTPEM1(240):CTRL. 09:01:16.927493 239 RTP_Emulation.ttcn:458 Port CTRL was disconnected from mtc:RTPEM[0]. 09:01:16.927508 mtc MGCP_Test.ttcn:2047 Port RTPEM[1] was stopped. 09:01:16.927522 240 RTP_Emulation.ttcn:458 Connection of port CTRL to mtc:RTPEM[1] was closed unexpectedly by the peer. 09:01:16.927559 mtc MGCP_Test.ttcn:2047 Removing unterminated connection between port RTPEM[2] and RTPEM2(241):CTRL. 09:01:16.927572 240 RTP_Emulation.ttcn:458 Port CTRL was disconnected from mtc:RTPEM[1]. 09:01:16.927610 mtc MGCP_Test.ttcn:2047 Port RTPEM[2] was stopped. 09:01:16.927635 241 RTP_Emulation.ttcn:458 Connection of port CTRL to mtc:RTPEM[2] was closed unexpectedly by the peer. 09:01:16.927660 mtc MGCP_Test.ttcn:2047 Port OsmuxEM was stopped. 09:01:16.927695 mtc MGCP_Test.ttcn:2047 Removing unterminated mapping between port MGWVTY and system:MGWVTY. 09:01:16.927702 241 RTP_Emulation.ttcn:458 Port CTRL was disconnected from mtc:RTPEM[2]. 09:01:16.927761 mtc MGCP_Test.ttcn:2047 Port MGWVTY was unmapped from system:MGWVTY. 09:01:16.927820 mtc MGCP_Test.ttcn:2047 Port MGWVTY was stopped. 09:01:16.927856 mtc MGCP_Test.ttcn:2047 Component type MGCP_Test.dummy_CT was shut down inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:16.927894 mtc MGCP_Test.ttcn:2047 Waiting for PTCs to finish. 09:01:16.927993 240 RTP_Emulation.ttcn:458 Kill was requested from MC. 09:01:16.927996 239 RTP_Emulation.ttcn:458 Kill was requested from MC. 09:01:16.927997 241 RTP_Emulation.ttcn:458 Kill was requested from MC. 09:01:16.928004 242 StatsD_Checker.ttcnpp:135 Kill was requested from MC. 09:01:16.928029 243 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 09:01:16.928032 240 RTP_Emulation.ttcn:458 Terminating test component execution. 09:01:16.928042 241 RTP_Emulation.ttcn:458 Terminating test component execution. 09:01:16.928052 242 StatsD_Checker.ttcnpp:135 Terminating test component execution. 09:01:16.928075 239 RTP_Emulation.ttcn:458 Terminating test component execution. 09:01:16.928106 243 IPA_Emulation.ttcnpp:735 Terminating test component execution. 09:01:16.928393 242 - Function main was stopped. PTC terminates. 09:01:16.928451 240 - Function f_main was stopped. PTC terminates. 09:01:16.928452 242 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 09:01:16.928484 243 - Function main_client was stopped. PTC terminates. 09:01:16.928493 242 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 09:01:16.928500 239 - Function f_main was stopped. PTC terminates. 09:01:16.928515 240 - Terminating component type RTP_Emulation.RTP_Emulation_CT. 09:01:16.928529 241 - Function f_main was stopped. PTC terminates. 09:01:16.928545 243 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 09:01:16.928553 239 - Terminating component type RTP_Emulation.RTP_Emulation_CT. 09:01:16.928554 240 - Removing unterminated mapping between port RTP and system:RTP. 09:01:16.928578 242 - Port STATSVTY was unmapped from system:STATSVTY. 09:01:16.928591 241 - Terminating component type RTP_Emulation.RTP_Emulation_CT. 09:01:16.928591 243 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 09:01:16.928597 239 - Removing unterminated mapping between port RTP and system:RTP. 09:01:16.928630 241 - Removing unterminated mapping between port RTP and system:RTP. 09:01:16.928630 242 - Port STATSVTY was stopped. 09:01:16.928674 242 - Port STATSD_PROC was stopped. 09:01:16.928682 241 - Port RTP was unmapped from system:RTP. 09:01:16.928708 242 - Removing unterminated mapping between port STATS and system:STATS. 09:01:16.928727 241 - Port RTP was stopped. 09:01:16.928758 240 - Port RTP was unmapped from system:RTP. 09:01:16.928764 241 - Removing unterminated mapping between port RTCP and system:RTCP. 09:01:16.928807 241 - Port RTCP was unmapped from system:RTCP. 09:01:16.928808 240 - Port RTP was stopped. 09:01:16.928838 239 - Port RTP was unmapped from system:RTP. 09:01:16.928848 241 - Port RTCP was stopped. 09:01:16.928851 240 - Removing unterminated mapping between port RTCP and system:RTCP. 09:01:16.928882 241 - Port CTRL was stopped. 09:01:16.928890 239 - Port RTP was stopped. 09:01:16.928891 243 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 09:01:16.928900 240 - Port RTCP was unmapped from system:RTCP. 09:01:16.928902 242 - Port STATS was unmapped from system:STATS. 09:01:16.928915 241 - Port DATA was stopped. 09:01:16.928926 239 - Removing unterminated mapping between port RTCP and system:RTCP. 09:01:16.928945 240 - Port RTCP was stopped. 09:01:16.928950 243 - Port IPA_PORT was stopped. 09:01:16.928951 241 - Component type RTP_Emulation.RTP_Emulation_CT was shut down inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:16.928961 242 - Port STATS was stopped. 09:01:16.928979 239 - Port RTCP was unmapped from system:RTCP. 09:01:16.928980 240 - Port CTRL was stopped. 09:01:16.928989 243 - Port CFG_PORT was stopped. 09:01:16.929002 242 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:16.929003 241 - Final verdict of PTC: none 09:01:16.929008 240 - Port DATA was stopped. 09:01:16.929022 243 - Port IPA_CTRL_PORT was stopped. 09:01:16.929031 239 - Port RTCP was stopped. 09:01:16.929046 240 - Component type RTP_Emulation.RTP_Emulation_CT was shut down inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:16.929047 242 - Final verdict of PTC: none 09:01:16.929061 243 - Port IPA_SP_PORT was stopped. 09:01:16.929074 239 - Port CTRL was stopped. 09:01:16.929083 240 - Final verdict of PTC: none 09:01:16.929100 243 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:16.929109 239 - Port DATA was stopped. 09:01:16.929110 241 - Disconnected from MC. 09:01:16.929146 243 - Final verdict of PTC: none 09:01:16.929151 241 - TTCN-3 Parallel Test Component finished. 09:01:16.929163 242 - Disconnected from MC. 09:01:16.929177 240 - Disconnected from MC. 09:01:16.929195 239 - Component type RTP_Emulation.RTP_Emulation_CT was shut down inside testcase TC_two_crcx_diff_pt_and_rtp_bidir. 09:01:16.929249 240 - TTCN-3 Parallel Test Component finished. 09:01:16.929257 242 - TTCN-3 Parallel Test Component finished. 09:01:16.929276 239 - Final verdict of PTC: none 09:01:16.929306 243 - Disconnected from MC. 09:01:16.929353 243 - TTCN-3 Parallel Test Component finished. 09:01:16.929420 239 - Disconnected from MC. 09:01:16.929420 mtc MGCP_Test.ttcn:2047 Setting final verdict of the test case. 09:01:16.929511 239 - TTCN-3 Parallel Test Component finished. 09:01:16.929533 mtc MGCP_Test.ttcn:2047 Local verdict of MTC: pass 09:01:16.929646 mtc MGCP_Test.ttcn:2047 Local verdict of PTC RTPEM0(239): none (pass -> pass) 09:01:16.929773 mtc MGCP_Test.ttcn:2047 Local verdict of PTC RTPEM1(240): none (pass -> pass) 09:01:16.929911 mtc MGCP_Test.ttcn:2047 Local verdict of PTC RTPEM2(241): none (pass -> pass) 09:01:16.930050 mtc MGCP_Test.ttcn:2047 Local verdict of PTC VirtCallAgent-STATS(242): none (pass -> pass) 09:01:16.930145 mtc MGCP_Test.ttcn:2047 Local verdict of PTC IPA-CTRL-CLI-IPA(243): none (pass -> pass) 09:01:16.930246 mtc MGCP_Test.ttcn:2047 Test case TC_two_crcx_diff_pt_and_rtp_bidir finished. Verdict: pass 09:01:16.930326 mtc MGCP_Test.ttcn:2047 Starting external command `/home/osmocom-build/jenkins/workspace/ttcn3-mgw-test-latest/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-stop.sh MGCP_Test.TC_two_crcx_diff_pt_and_rtp_bidir pass'. 09:01:18.999334 mtc MGCP_Test.ttcn:2047 External command `/home/osmocom-build/jenkins/workspace/ttcn3-mgw-test-latest/_cache/podman/osmo-ttcn3-hacks/ttcn3-tcpdump-stop.sh MGCP_Test.TC_two_crcx_diff_pt_and_rtp_bidir pass' was executed successfully (exit status: 0). 09:01:18.999494 mtc MGCP_Test.ttcn:2155 Switching to log file `MGCP_Test-TC_two_crcx_mdcx_and_rtp-3170af757903-mtc.log'