09:26:30.785780 mtc BSC_Tests.ttcn:9561 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_assignment_emerg_setup_deny_msc'. 09:26:31.796908 mtc BSC_Tests.ttcn:9561 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_assignment_emerg_setup_deny_msc' was executed successfully (exit status: 0). 09:26:31.797140 mtc BSC_Tests.ttcn:9561 Test case TC_assignment_emerg_setup_deny_msc started. 09:26:31.797212 mtc BSC_Tests.ttcn:9561 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.797434 mtc BSC_Tests.ttcn:9561 Port IPA_CTRL was started. 09:26:31.797486 mtc BSC_Tests.ttcn:9561 Port RSL_CCHAN[0] was started. 09:26:31.797526 mtc BSC_Tests.ttcn:9561 Port RSL_CCHAN[1] was started. 09:26:31.797565 mtc BSC_Tests.ttcn:9561 Port RSL_CCHAN[2] was started. 09:26:31.797602 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[0][0] was started. 09:26:31.797638 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[0][1] was started. 09:26:31.797674 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[0][2] was started. 09:26:31.797709 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[0][3] was started. 09:26:31.797745 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[1][0] was started. 09:26:31.797781 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[1][1] was started. 09:26:31.797817 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[1][2] was started. 09:26:31.797852 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[1][3] was started. 09:26:31.797887 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[2][0] was started. 09:26:31.797923 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[2][1] was started. 09:26:31.797958 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[2][2] was started. 09:26:31.797993 mtc BSC_Tests.ttcn:9561 Port IPA_RSL[2][3] was started. 09:26:31.798030 mtc BSC_Tests.ttcn:9561 Port IPA was started. 09:26:31.798065 mtc BSC_Tests.ttcn:9561 Port SCCPLITE_IPA_CTRL was started. 09:26:31.798103 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[0][0] was started. 09:26:31.798139 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[0][1] was started. 09:26:31.798175 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[0][2] was started. 09:26:31.798211 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[0][3] was started. 09:26:31.798246 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[1][0] was started. 09:26:31.798283 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[1][1] was started. 09:26:31.798351 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[1][2] was started. 09:26:31.798391 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[1][3] was started. 09:26:31.798427 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[2][0] was started. 09:26:31.798463 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[2][1] was started. 09:26:31.798499 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[2][2] was started. 09:26:31.798534 mtc BSC_Tests.ttcn:9561 Port IPA_CFG_PORT[2][3] was started. 09:26:31.798570 mtc BSC_Tests.ttcn:9561 Port BSCVTY was started. 09:26:31.798606 mtc BSC_Tests.ttcn:9561 Port BSSAP was started. 09:26:31.798641 mtc BSC_Tests.ttcn:9561 Port BSSAP_LE was started. 09:26:31.798676 mtc BSC_Tests.ttcn:9561 Component type BSC_Tests.test_CT was initialized. 09:26:31.798839 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.672996. 09:26:31.798913 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.086308. 09:26:31.798963 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.329424. 09:26:31.799009 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.646747. 09:26:31.799052 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.759776. 09:26:31.799097 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.627828. 09:26:31.799140 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.648711. 09:26:31.799184 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.899623. 09:26:31.799227 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.059246. 09:26:31.799271 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.853131. 09:26:31.799318 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.719978. 09:26:31.799362 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.688574. 09:26:31.799405 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.640772. 09:26:31.799449 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.760428. 09:26:31.799493 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.898837. 09:26:31.799536 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.870667. 09:26:31.799581 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.649294. 09:26:31.799656 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.110444. 09:26:31.799704 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.846501. 09:26:31.799751 mtc BSC_Tests.ttcn:768 "TC_assignment_emerg_setup_deny_msc": using IMSI '001016036766808'H 09:26:31.799856 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 09:26:31.799914 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 09:26:31.799967 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 09:26:31.800978 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 09:26:31.801113 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 09:26:31.801407 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 09:26:31.801577 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 09:26:31.801653 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 09:26:31.801711 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 09:26:31.801853 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 09:26:31.802037 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.802120 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:31.802169 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:31.802212 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:31.802252 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:31.802293 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:31.802382 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 09:26:31.802436 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 09:26:31.802490 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 09:26:31.802702 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 09:26:31.802871 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.802999 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.803054 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 09:26:31.803103 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 09:26:31.803152 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.803221 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:31.803302 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.803453 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 09:26:31.803501 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.803532 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.803566 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.803581 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 09:26:31.803602 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 09:26:31.803616 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.803630 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 09:26:31.803658 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.803786 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 09:26:31.803831 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.803862 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.803895 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.803910 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 09:26:31.803922 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 09:26:31.803935 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.803950 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 09:26:31.803977 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.804099 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 09:26:31.804144 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.804174 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.804208 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.804222 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 09:26:31.804234 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 09:26:31.804246 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.804260 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 09:26:31.804288 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.804587 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 09:26:31.804780 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.804912 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.805059 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.805122 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 09:26:31.805174 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 09:26:31.805228 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.805286 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 09:26:31.805405 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.805674 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 09:26:31.805865 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.806029 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.806094 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 09:26:31.806150 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 09:26:31.806206 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.806271 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:31.806429 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.806764 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 09:26:31.806904 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.807018 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.807157 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.807217 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 09:26:31.807269 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 09:26:31.807323 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.807381 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 09:26:31.807483 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.807752 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 09:26:31.807878 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.807982 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.808107 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.808164 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 09:26:31.808213 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 09:26:31.808264 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.808318 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 09:26:31.808413 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.808693 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 09:26:31.808811 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.808911 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.809031 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.809086 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 09:26:31.809135 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 09:26:31.809185 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.809238 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.809328 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.809642 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 09:26:31.809860 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.809990 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.810134 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.810195 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 09:26:31.810248 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 09:26:31.810331 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.810394 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.810517 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.810799 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 09:26:31.810974 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.811092 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.811148 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 09:26:31.811195 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 09:26:31.811243 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.811298 mtc StatsD_Checker.ttcnpp:373 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 09:26:31.818735 2753 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.818783 2753 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtMSC-STATS(2753), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 09:26:31.818793 2753 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.818818 2753 - Connected to MC. 09:26:31.818824 2753 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.818951 mtc StatsD_Checker.ttcnpp:373 PTC was created. Component reference: 2753, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 09:26:31.818988 mtc StatsD_Checker.ttcnpp:374 Starting function main("172.18.149.203", 8125) on component VirtMSC-STATS(2753). 09:26:31.819098 mtc StatsD_Checker.ttcnpp:374 Function was started. 09:26:31.819129 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 09:26:31.819167 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.819352 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 09:26:31.819405 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.819438 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.819452 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 09:26:31.819465 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 09:26:31.819477 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.819492 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 09:26:31.819519 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.819664 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 14 09:26:31.819768 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.819904 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.819966 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 14 09:26:31.820019 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 14 was extracted from the queue of BSCVTY. 09:26:31.820072 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.820138 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 09:26:31.820283 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.821114 2753 - Port STATSVTY was started. 09:26:31.821164 2753 - Port STATSD_PROC was started. 09:26:31.821186 2753 - Port STATS was started. 09:26:31.821200 2753 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 09:26:31.821365 2753 - Starting function main("172.18.149.203", 8125). 09:26:31.821835 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 09:26:31.821908 2753 StatsD_Checker.ttcnpp:117 Mapping port VirtMSC-STATS(2753):STATS to system:STATS. 09:26:31.822047 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.822180 2753 StatsD_Checker.ttcnpp:117 Port STATS was mapped to system:STATS. 09:26:31.822190 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.822252 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 09:26:31.822278 2753 StatsD_Checker.ttcnpp:117 Map operation of VirtMSC-STATS(2753):STATS to system:STATS finished. 09:26:31.822328 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 09:26:31.822386 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.822568 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 09:26:31.822756 2753 StatsD_Checker.ttcnpp:118 entering f__IPL4__PROVIDER__listen: 172.18.149.203:8125 / UDP 09:26:31.824793 2753 StatsD_Checker.ttcnpp:126 Mapping port VirtMSC-STATS(2753):STATSVTY to system:STATSVTY. 09:26:31.825388 2753 StatsD_Checker.ttcnpp:126 Message enqueued on STATSVTY from system integer : 2 id 1 09:26:31.825443 2753 StatsD_Checker.ttcnpp:126 Port STATSVTY was mapped to system:STATSVTY. 09:26:31.825558 2753 StatsD_Checker.ttcnpp:126 Map operation of VirtMSC-STATS(2753):STATSVTY to system:STATSVTY finished. 09:26:31.825896 2753 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 09:26:31.826083 2753 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 09:26:31.826129 2753 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 09:26:31.826508 2753 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 09:26:31.826696 2753 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.826832 2753 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:26:31.826851 2753 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:26:31.826864 2753 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:26:31.826876 2753 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:26:31.826912 2753 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:26:31.827034 2753 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 09:26:31.827080 2753 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 09:26:31.827110 2753 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 09:26:31.827289 2753 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 09:26:31.827440 2753 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.827499 2753 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.827529 2753 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 09:26:31.827546 2753 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 09:26:31.827582 2753 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.827621 2753 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 09:26:31.827661 2753 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.828046 2753 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 09:26:31.828159 2753 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.828208 2753 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.828227 2753 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 09:26:31.828244 2753 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 09:26:31.828261 2753 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.828891 2754 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.829077 2754 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.829299 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 2754, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 09:26:31.829422 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 09:26:31.831807 2754 - Component type SCCP_Emulation.SCCP_CT was initialized. 09:26:31.835092 2755 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.835166 2755 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtMSC-RAN(2755), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 09:26:31.835185 2755 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.835222 2755 - Connected to MC. 09:26:31.835233 2755 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.835417 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 2755, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 09:26:31.835496 mtc RAN_Adapter.ttcnpp:109 Creating new PTC with component type M3UA_Emulation.M3UA_CT, component name: VirtMSC-M3UA. 09:26:31.836997 2755 - Port BSSAP was started. 09:26:31.837016 2755 - Port CLIENT was started. 09:26:31.837023 2755 - Port MGCP was started. 09:26:31.837028 2755 - Port CTRL was started. 09:26:31.837034 2755 - Port CTRL_CLIENT was started. 09:26:31.837039 2755 - Port PROC was started. 09:26:31.837045 2755 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 09:26:31.839360 2756 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.839475 2756 - Initializing variables, timers and ports of component type M3UA_Emulation.M3UA_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.839610 mtc RAN_Adapter.ttcnpp:109 PTC was created. Component reference: 2756, alive: no, type: M3UA_Emulation.M3UA_CT, component name: VirtMSC-M3UA. 09:26:31.839663 mtc RAN_Adapter.ttcnpp:110 Mapping port VirtMSC-M3UA(2756):SCTP_PORT to system:sctp. 09:26:31.840677 2756 - Component type M3UA_Emulation.M3UA_CT was initialized. 09:26:31.840861 mtc RAN_Adapter.ttcnpp:110 Map operation of VirtMSC-M3UA(2756):SCTP_PORT to system:sctp finished. 09:26:31.840926 mtc RAN_Adapter.ttcnpp:112 Connecting ports VirtMSC-M3UA(2756):MTP3_SP_PORT and VirtMSC-SCCP(2754):MTP3_SCCP_PORT. 09:26:31.841513 mtc RAN_Adapter.ttcnpp:112 Connect operation on VirtMSC-M3UA(2756):MTP3_SP_PORT and VirtMSC-SCCP(2754):MTP3_SCCP_PORT finished. 09:26:31.841582 mtc RAN_Adapter.ttcnpp:113 Starting function f_M3UA_Emulation({ local_sctp_port := 23905, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 1) on component VirtMSC-M3UA(2756). 09:26:31.841776 mtc RAN_Adapter.ttcnpp:113 Function was started. 09:26:31.841837 2756 - Starting function f_M3UA_Emulation({ local_sctp_port := 23905, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 1). 09:26:31.841843 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 09:26:31.841870 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 09:26:31.841900 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(2755):BSSAP and VirtMSC-SCCP(2754):SCCP_SP_PORT. 09:26:31.842260 2755 - Port BSSAP has established the connection with VirtMSC-SCCP(2754):SCCP_SP_PORT using transport type UNIX. 09:26:31.842309 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(2755):BSSAP and VirtMSC-SCCP(2754):SCCP_SP_PORT finished. 09:26:31.842336 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 09:26:31.842387 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_AoIP (0), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(2755). 09:26:31.842519 mtc RAN_Adapter.ttcnpp:190 Function was started. 09:26:31.842553 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(2755):CTRL_CLIENT. 09:26:31.842691 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(2755):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-2315e002. 09:26:31.843002 2755 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_AoIP (0), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 09:26:31.843863 2755 Osmocom_Types.ttcn:118 Start timer T: 1 s 09:26:31.843944 2755 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 09:26:31.844077 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(2755):CTRL_CLIENT. 09:26:31.844149 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(2755):CTRL_CLIENT finished. 09:26:31.844180 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(2754). 09:26:31.844245 mtc RAN_Adapter.ttcnpp:197 Function was started. 09:26:31.844322 mtc BSSAP_LE_Adapter.ttcn:95 Creating new alive PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. 09:26:31.844439 2754 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 09:26:31.847768 2757 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.847818 2757 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtSMLC-SCCP(2757), component type: SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. Version: 9.0.0. 09:26:31.847829 2757 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.847854 2757 - Connected to MC. 09:26:31.847863 2757 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.847917 mtc BSSAP_LE_Adapter.ttcn:95 PTC was created. Component reference: 2757, alive: yes, type: SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. 09:26:31.847952 mtc BSSAP_LE_Adapter.ttcn:97 Creating new alive PTC with component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT, component name: VirtSMLC-BSSAP_LE. 09:26:31.848935 2757 - Port SCCP_SP_PORT was started. 09:26:31.848949 2757 - Port MTP3_SCCP_PORT was started. 09:26:31.848953 2757 - Component type SCCP_Emulation.SCCP_CT was initialized. 09:26:31.850647 2758 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.850695 2758 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtSMLC-BSSAP_LE(2758), component type: BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT, component name: VirtSMLC-BSSAP_LE. Version: 9.0.0. 09:26:31.850704 2758 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.850724 2758 - Connected to MC. 09:26:31.850730 2758 - Initializing variables, timers and ports of component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.850788 mtc BSSAP_LE_Adapter.ttcn:97 PTC was created. Component reference: 2758, alive: yes, type: BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT, component name: VirtSMLC-BSSAP_LE. 09:26:31.850813 mtc BSSAP_LE_Adapter.ttcn:101 Creating new alive PTC with component type M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. 09:26:31.851523 2758 - Port BSSAP_LE was started. 09:26:31.851533 2758 - Port CLIENT was started. 09:26:31.851536 2758 - Port PROC was started. 09:26:31.851539 2758 - Component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT was initialized. 09:26:31.853226 2759 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.853267 2759 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtSMLC-M3UA(2759), component type: M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. Version: 9.0.0. 09:26:31.853276 2759 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.853296 2759 - Connected to MC. 09:26:31.853303 2759 - Initializing variables, timers and ports of component type M3UA_Emulation.M3UA_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.853347 mtc BSSAP_LE_Adapter.ttcn:101 PTC was created. Component reference: 2759, alive: yes, type: M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. 09:26:31.853373 mtc BSSAP_LE_Adapter.ttcn:102 Mapping port VirtSMLC-M3UA(2759):SCTP_PORT to system:sctp. 09:26:31.854126 2759 - Port MTP3_SP_PORT was started. 09:26:31.854145 2759 - Port SCTP_PORT was started. 09:26:31.854150 2759 - Component type M3UA_Emulation.M3UA_CT was initialized. 09:26:31.854159 2759 - Port SCTP_PORT was mapped to system:sctp. 09:26:31.854217 mtc BSSAP_LE_Adapter.ttcn:102 Map operation of VirtSMLC-M3UA(2759):SCTP_PORT to system:sctp finished. 09:26:31.854242 mtc BSSAP_LE_Adapter.ttcn:104 Connecting ports VirtSMLC-M3UA(2759):MTP3_SP_PORT and VirtSMLC-SCCP(2757):MTP3_SCCP_PORT. 09:26:31.854319 2757 - Port MTP3_SCCP_PORT is waiting for connection from VirtSMLC-M3UA(2759):MTP3_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-3c65feb8. 09:26:31.854435 2759 - Port MTP3_SP_PORT has established the connection with VirtSMLC-SCCP(2757):MTP3_SCCP_PORT using transport type UNIX. 09:26:31.854448 2757 - Port MTP3_SCCP_PORT has accepted the connection from VirtSMLC-M3UA(2759):MTP3_SP_PORT. 09:26:31.854491 mtc BSSAP_LE_Adapter.ttcn:104 Connect operation on VirtSMLC-M3UA(2759):MTP3_SP_PORT and VirtSMLC-SCCP(2757):MTP3_SCCP_PORT finished. 09:26:31.854516 mtc BSSAP_LE_Adapter.ttcn:105 Starting function f_M3UA_Emulation({ local_sctp_port := 23908, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 6) on component VirtSMLC-M3UA(2759). 09:26:31.854549 mtc BSSAP_LE_Adapter.ttcn:105 Function was started. 09:26:31.854563 mtc BSSAP_LE_Adapter.ttcn:109 Start timer T: 5 s 09:26:31.854575 mtc BSSAP_LE_Adapter.ttcn:112 Connecting BSSAP_LE_Emulation to SCCP_SP_PORT 09:26:31.854588 mtc BSSAP_LE_Adapter.ttcn:113 Connecting ports VirtSMLC-BSSAP_LE(2758):BSSAP_LE and VirtSMLC-SCCP(2757):SCCP_SP_PORT. 09:26:31.854622 2759 - Starting function f_M3UA_Emulation({ local_sctp_port := 23908, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 6). 09:26:31.854629 2757 - Port SCCP_SP_PORT is waiting for connection from VirtSMLC-BSSAP_LE(2758):BSSAP_LE on UNIX pathname /tmp/ttcn3-portconn-923e0c8. 09:26:31.854651 2758 - Port BSSAP_LE has established the connection with VirtSMLC-SCCP(2757):SCCP_SP_PORT using transport type UNIX. 09:26:31.854745 2757 - Port SCCP_SP_PORT has accepted the connection from VirtSMLC-BSSAP_LE(2758):BSSAP_LE. 09:26:31.854793 mtc BSSAP_LE_Adapter.ttcn:113 Connect operation on VirtSMLC-BSSAP_LE(2758):BSSAP_LE and VirtSMLC-SCCP(2757):SCCP_SP_PORT finished. 09:26:31.854818 mtc BSSAP_LE_Adapter.ttcn:114 Starting BSSAP_LE_Emulation 09:26:31.854845 mtc BSSAP_LE_Adapter.ttcn:115 Starting function main({ create_cb := refers(BSSAP_LE_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.BSSAP_LE_UnitdataCallback), decode_dtap := false, role_ms := false, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit } }, "") on component VirtSMLC-BSSAP_LE(2758). 09:26:31.854852 2759 M3UA_Emulation.ttcn:512 ************************************************* 09:26:31.854866 2759 M3UA_Emulation.ttcn:513 M3UA emulation initiated, the test can be started 09:26:31.854871 2759 M3UA_Emulation.ttcn:514 ************************************************* 09:26:31.854911 mtc BSSAP_LE_Adapter.ttcn:115 Function was started. 09:26:31.854928 mtc BSSAP_LE_Adapter.ttcn:122 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 252 }) on component VirtSMLC-SCCP(2757). 09:26:31.854961 mtc BSSAP_LE_Adapter.ttcn:122 Function was started. 09:26:31.854977 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 09:26:31.855021 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.855120 2759 M3UA_Emulation.ttcn:554 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP_ConnectFrom : { local_hostname := "172.18.149.203", local_portnumber := 23908, peer_hostname := "172.18.149.200", peer_portnumber := 2905 } 09:26:31.855128 2757 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 252 }). 09:26:31.855149 2758 - Starting function main({ create_cb := refers(BSSAP_LE_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.BSSAP_LE_UnitdataCallback), decode_dtap := false, role_ms := false, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit } }, ""). 09:26:31.855312 2757 SCCP_Emulation.ttcn:1527 v_sccp_pdu_maxlen:268 09:26:31.855413 2758 Osmocom_Types.ttcn:118 Start timer T: 1 s 09:26:31.856503 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" id 16 09:26:31.856619 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 09:26:31.857492 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.858594 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.859847 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 09:26:31.861403 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 09:26:31.863065 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with ? matched 09:26:31.864587 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T7 1\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n rach emergency call allowed 1\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n handover 1\n handover algorithm 1\n handover2 window rxlev averaging 1\n srvcc fast-return forbid\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" id 16 09:26:31.864719 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 09:26:31.864757 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.864796 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.864815 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 09:26:31.864832 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 09:26:31.864850 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.864876 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:31.864917 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.865055 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 18 09:26:31.865108 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.865144 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.865188 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.865209 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 18 09:26:31.865227 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 18 was extracted from the queue of BSCVTY. 09:26:31.865245 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.865264 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 09:26:31.865298 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.865446 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 19 09:26:31.865509 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.865550 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.865596 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.865616 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 19 09:26:31.865633 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 19 was extracted from the queue of BSCVTY. 09:26:31.865651 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.865672 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 09:26:31.865710 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.865817 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 20 09:26:31.865858 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.865893 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.865934 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.865952 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 20 09:26:31.865968 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 09:26:31.865985 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.866002 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.866033 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.866139 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 21 09:26:31.866178 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.866211 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.866248 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.866264 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 21 09:26:31.866286 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 09:26:31.866309 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.866325 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.866353 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.866441 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 22 09:26:31.866476 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.866508 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.866524 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 22 09:26:31.866538 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 22 was extracted from the queue of BSCVTY. 09:26:31.866552 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.866572 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:31.866600 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.866700 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 09:26:31.866735 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.866765 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.866801 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.866818 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 09:26:31.866833 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 09:26:31.866848 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.866863 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1" 09:26:31.866889 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.866981 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 24 09:26:31.867014 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.867042 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.867075 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.867091 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 24 09:26:31.867105 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 24 was extracted from the queue of BSCVTY. 09:26:31.867120 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.867135 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 09:26:31.867161 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.867204 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 25 09:26:31.867235 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.867263 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.867296 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.867318 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 25 09:26:31.867332 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 09:26:31.867346 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.867360 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.867388 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.867427 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 26 09:26:31.867456 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.867483 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.867516 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.867531 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 26 09:26:31.867544 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 09:26:31.867558 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.867572 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.867596 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.867629 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 27 09:26:31.867658 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.867686 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.867700 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 27 09:26:31.867713 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 27 was extracted from the queue of BSCVTY. 09:26:31.867726 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.867743 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:31.867766 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.867812 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 28 09:26:31.867842 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.867869 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.867900 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.867916 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 28 09:26:31.867929 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 09:26:31.867942 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.867957 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2" 09:26:31.867981 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.868020 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 29 09:26:31.868049 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.868075 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.868111 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.868127 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 29 09:26:31.868139 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 29 was extracted from the queue of BSCVTY. 09:26:31.868153 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.868167 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 09:26:31.868191 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.868230 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 30 09:26:31.868258 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.868283 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.868312 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.868325 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 30 09:26:31.868337 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 30 was extracted from the queue of BSCVTY. 09:26:31.868349 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.868362 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.868383 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.868418 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 31 09:26:31.868445 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.868469 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:31.868498 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:31.868511 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 31 09:26:31.868523 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 31 was extracted from the queue of BSCVTY. 09:26:31.868535 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.868548 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:31.868569 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:31.868598 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 09:26:31.868624 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:31.868648 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:31.868661 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 09:26:31.868672 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 09:26:31.868684 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:31.868698 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 09:26:31.871717 2760 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.871776 2760 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: IPA-CTRL-CLI-IPA(2760), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 09:26:31.871789 2760 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.871817 2760 - Connected to MC. 09:26:31.871825 2760 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.871839 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 2760, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 09:26:31.871864 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(2760):IPA_PORT to system:IPA_CODEC_PT. 09:26:31.872955 2760 - Port IPA_PORT was started. 09:26:31.872970 2760 - Port CFG_PORT was started. 09:26:31.872974 2760 - Port MTP3_SP_PORT was started. 09:26:31.872979 2760 - Port IPA_MGCP_PORT was started. 09:26:31.872983 2760 - Port IPA_RSL_PORT was started. 09:26:31.872987 2760 - Port IPA_OML_PORT was started. 09:26:31.872991 2760 - Port IPA_CTRL_PORT was started. 09:26:31.872995 2760 - Port IPA_SP_PORT was started. 09:26:31.873000 2760 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 09:26:31.873025 2760 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 09:26:31.873052 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(2760):IPA_PORT to system:IPA_CODEC_PT finished. 09:26:31.873079 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(2760):IPA_CTRL_PORT and mtc:IPA_CTRL. 09:26:31.873182 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(2760):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-6518f21f. 09:26:31.873258 2760 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 09:26:31.873297 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(2760):IPA_CTRL_PORT. 09:26:31.873323 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(2760):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 09:26:31.873341 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.149.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(2760). 09:26:31.873389 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 09:26:31.873407 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 09:26:31.873557 2760 - Starting function main_client("172.18.149.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 09:26:31.873771 2760 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.149.20:4249 / TCP 09:26:31.875018 2760 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 09:26:31.875157 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(2760) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:26:31.875208 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 09:26:31.875232 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(2760): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:26:31.875254 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 09:26:31.875281 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 09:26:31.879676 2761 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:31.879735 2761 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtMGW-MGCP-0(2761), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 09:26:31.879748 2761 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:31.879776 2761 - Connected to MC. 09:26:31.879787 2761 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:31.879849 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 2761, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 09:26:31.879894 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.149.20", callagent_udp_port := -1, mgw_ip := "172.18.149.203", mgw_udp_port := 2427, multi_conn_mode := false }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(2761). 09:26:31.879986 mtc BSC_Tests.ttcn:1104 Function was started. 09:26:31.880010 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 09:26:31.880034 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.124445. 09:26:31.880070 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "124445243", variable := "bts.0.oml-connection-state", val := omit } } 09:26:31.880100 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 09:26:31.880283 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "124445243", variable := "bts.0.oml-connection-state", val := omit } } id 1 09:26:31.880411 2760 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 09:26:31.880440 2760 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "124445243", variable := "bts.0.oml-connection-state", val := omit } } id 1 09:26:31.880462 2760 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 09:26:31.880488 2760 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "124445243", variable := "bts.0.oml-connection-state", val := omit } } 09:26:31.880596 2760 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 124445243 bts.0.oml-connection-state" 09:26:31.881142 2760 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 124445243 bts.0.oml-connection-state") } 09:26:31.881150 2761 - Port MGCP was started. 09:26:31.881167 2761 - Port MGCP_CLIENT was started. 09:26:31.881173 2761 - Port MGCP_CLIENT_MULTI was started. 09:26:31.881180 2761 - Port MGCP_PROC was started. 09:26:31.881186 2761 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 09:26:31.881265 2761 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.149.20", callagent_udp_port := -1, mgw_ip := "172.18.149.203", mgw_udp_port := 2427, multi_conn_mode := false }, "VirtMGW-MGCP-0"). 09:26:31.881274 2760 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 124445243 bts.0.oml-connection-state") } 09:26:31.881458 2760 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 09:26:31.881535 2760 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 09:26:31.881582 2761 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(2761):MGCP to system:MGCP_CODEC_PT. 09:26:31.881639 2761 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 09:26:31.881674 2761 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(2761):MGCP to system:MGCP_CODEC_PT finished. 09:26:31.881852 2761 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.149.203:2427 / UDP 09:26:31.882095 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 40959, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 09:26:31.882170 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 09:26:31.882359 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 124445243 bts.0.oml-connection-state degraded") } 09:26:31.882405 2760 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 124445243 bts.0.oml-connection-state degraded") } id 1 09:26:31.882486 2760 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:31.882512 2760 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920313234343435323433206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 124445243 bts.0.oml-connection-state degraded") } id 1 09:26:31.882527 2760 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 09:26:31.882557 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 124445243 bts.0.oml-connection-state degraded" 09:26:31.882640 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 124445243 bts.0.oml-connection-state degraded 09:26:31.882649 2760 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:26:31.882657 2760 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:26:31.882732 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 124445243 bts.0.oml-connection-state degraded 09:26:31.882742 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:26:31.882753 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:31.882760 2760 IPA_Emulation.ttcnpp:627 match_begin result: 3 09:26:31.882768 2760 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 124445243 bts.0.oml-connection-state degraded 09:26:31.882775 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:31.882782 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:31.882826 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 124445243 bts.0.oml-connection-state degraded 09:26:31.882835 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:26:31.882845 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:31.882852 2760 IPA_Emulation.ttcnpp:627 match_begin result: 9 09:26:31.882859 2760 IPA_Emulation.ttcnpp:627 match_begin data: 124445243 bts.0.oml-connection-state degraded 09:26:31.882866 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:31.882873 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:31.882884 2760 IPA_Emulation.ttcnpp:627 match_first data: 124445243 bts.0.oml-connection-state degraded 09:26:31.882891 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:31.882899 2760 IPA_Emulation.ttcnpp:627 match_first result: 9 09:26:31.882906 2760 IPA_Emulation.ttcnpp:627 match_list data: 124445243 bts.0.oml-connection-state degraded 09:26:31.882912 2760 IPA_Emulation.ttcnpp:627 match_list result: 9 09:26:31.882919 2760 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 09:26:31.882926 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:31.882933 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:31.882944 2760 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 09:26:31.882951 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:31.882958 2760 IPA_Emulation.ttcnpp:627 match_first result: 26 09:26:31.882964 2760 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 09:26:31.882971 2760 IPA_Emulation.ttcnpp:627 match_list result: 26 09:26:31.882978 2760 IPA_Emulation.ttcnpp:627 match_begin data: degraded 09:26:31.882985 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:31.882992 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:31.882999 2760 IPA_Emulation.ttcnpp:627 match_first data: degraded 09:26:31.883006 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:31.883014 2760 IPA_Emulation.ttcnpp:627 match_first result: -1 09:26:31.883020 2760 IPA_Emulation.ttcnpp:627 match_list data: degraded 09:26:31.883027 2760 IPA_Emulation.ttcnpp:627 match_list result: -1 09:26:31.883057 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "124445243", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:26:31.883100 2760 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "124445243", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:26:31.883215 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "124445243", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 09:26:31.883283 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 09:26:31.883318 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(2760): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "124445243", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 09:26:31.883347 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 09:26:31.883374 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 09:26:31.883400 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 09:26:32.056362 2759 M3UA_Emulation.ttcn:560 Start timer T_Timer: 2 s 09:26:32.057082 2759 M3UA_Emulation.ttcn:561 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP_RESULT : { client_id := 8, error_status := false, error_message := omit } id 1 09:26:32.057228 2755 Osmocom_Types.ttcn:119 Message enqueued on BSSAP from VirtMSC-SCCP(2754) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000430040120'O, importance := omit } id 1 09:26:32.057496 2759 M3UA_Emulation.ttcn:562 Matching on port SCTP_PORT succeeded: matched 09:26:32.057584 2759 M3UA_Emulation.ttcn:562 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP_RESULT : { client_id := 8, error_status := false, error_message := omit } id 1 09:26:32.057665 2759 M3UA_Emulation.ttcn:562 Message with id 1 was extracted from the queue of SCTP_PORT. 09:26:32.057705 2759 M3UA_Emulation.ttcn:569 SCTP_ConnectResult -> connection established from: "172.18.149.203":23908 to server: "172.18.149.200":2905 association #8 09:26:32.057835 2755 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000430040120'O 09:26:32.057853 2759 M3UA_Emulation.ttcn:579 Stop timer T_Timer: 2 s 09:26:32.057883 2759 M3UA_Emulation.ttcn:537 Start timer T_Assoc_restart: 60 s 09:26:32.057966 2759 M3UA_Emulation.ttcn:542 Start timer T_ASPUP_resend: 2 s 09:26:32.057991 2759 M3UA_Emulation.ttcn:543 Start timer T_ASPAC_resend: 2 s 09:26:32.058828 2759 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE : { client_id := 8, sac_state := SCTP_COMM_UP (0) } id 2 09:26:32.058988 2759 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT succeeded: matched 09:26:32.059024 2759 M3UA_Emulation.ttcn:668 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE : { client_id := 8, sac_state := SCTP_COMM_UP (0) } id 2 09:26:32.059055 2759 M3UA_Emulation.ttcn:668 Message with id 2 was extracted from the queue of SCTP_PORT. 09:26:32.060173 2759 M3UA_Emulation.ttcn:959 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_ASPUP := { version := '01'O, reserved := '00'O, messageClassAndType := '0301'O, messageLength := 0, messageParameters := { aSP_Identifier := omit, info_String := omit } } } 09:26:32.060753 2759 M3UA_Emulation.ttcn:959 enc_PDU_M3UA(): Stream after encoding: '0100030100000008'O 09:26:32.060810 2759 M3UA_Emulation.ttcn:959 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030100000008'O } 09:26:32.061088 2759 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030400000008'O } id 3 09:26:32.061130 2759 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:26:32.061146 2759 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:26:32.061153 2759 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030400000008'O } id 3 09:26:32.061158 2759 M3UA_Emulation.ttcn:711 Message with id 3 was extracted from the queue of SCTP_PORT. 09:26:32.061182 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100030400000008'O 09:26:32.061266 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_ASPUP_Ack := { version := '01'O, reserved := '00'O, messageClassAndType := '0304'O, messageLength := 8, messageParameters := { info_String := omit } } } 09:26:32.061424 2759 M3UA_Emulation.ttcn:1189 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_ASPAC := { version := '01'O, reserved := '00'O, messageClassAndType := '0401'O, messageLength := 0, messageParameters := { traffic_Mode_Type := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, info_String := omit } } } 09:26:32.061477 2759 M3UA_Emulation.ttcn:1189 enc_PDU_M3UA(): Stream after encoding: '01000401000000100006000800000006'O 09:26:32.061486 2759 M3UA_Emulation.ttcn:1189 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000401000000100006000800000006'O } 09:26:32.061530 2759 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100020006000800000006'O } id 4 09:26:32.061540 2759 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:26:32.061550 2759 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:26:32.061556 2759 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100020006000800000006'O } id 4 09:26:32.061560 2759 M3UA_Emulation.ttcn:711 Message with id 4 was extracted from the queue of SCTP_PORT. 09:26:32.061564 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100000100000018000D0008000100020006000800000006'O 09:26:32.061661 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_NOTIFY := { version := '01'O, reserved := '00'O, messageClassAndType := '0001'O, messageLength := 24, messageParameters := { status := { tag := '000D'O, lengthInd := 8, statusType := '0001'O, statusInfo := '0002'O }, aSP_Identifier := { tag := '0006'O, lengthInd := 8, aSPIdentifier := '00000006'O }, routing_Context := omit, info_String := omit } } } 09:26:32.061706 2759 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000403000000100006000800000006'O } id 5 09:26:32.061712 2759 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:26:32.061716 2759 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:26:32.061721 2759 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000403000000100006000800000006'O } id 5 09:26:32.061728 2759 M3UA_Emulation.ttcn:711 Message with id 5 was extracted from the queue of SCTP_PORT. 09:26:32.061735 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '01000403000000100006000800000006'O 09:26:32.061777 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_ASPAC_Ack := { version := '01'O, reserved := '00'O, messageClassAndType := '0403'O, messageLength := 16, messageParameters := { traffic_Mode_Type := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, info_String := omit } } } 09:26:32.061838 2759 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100030006000800000006'O } id 6 09:26:32.061844 2759 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:26:32.061848 2759 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:26:32.061853 2759 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100030006000800000006'O } id 6 09:26:32.061857 2759 M3UA_Emulation.ttcn:711 Message with id 6 was extracted from the queue of SCTP_PORT. 09:26:32.061861 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100000100000018000D0008000100030006000800000006'O 09:26:32.061868 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_NOTIFY := { version := '01'O, reserved := '00'O, messageClassAndType := '0001'O, messageLength := 24, messageParameters := { status := { tag := '000D'O, lengthInd := 8, statusType := '0001'O, statusInfo := '0003'O }, aSP_Identifier := { tag := '0006'O, lengthInd := 8, aSPIdentifier := '00000006'O }, routing_Context := omit, info_String := omit } } } 09:26:32.062377 2755 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:26:32.062551 2755 Osmocom_Types.ttcn:119 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 09:26:32.844507 2755 Osmocom_Types.ttcn:119 Timeout T: 1 s 09:26:32.846901 2755 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(2754) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 09:26:32.847056 2755 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:26:32.847706 2755 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 09:26:32.847809 2755 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 09:26:32.848580 2755 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 09:26:32.849679 2755 RAN_Emulation.ttcnpp:540 Matching on port BSSAP .userData.pdu.bssmap{ reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } with { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := *, osmuxSupport := omit } } unmatched: First message in the queue does not match the template: 09:26:32.849829 2755 RAN_Emulation.ttcnpp:822 Matching on port BSSAP succeeded: matched 09:26:32.849845 2755 RAN_Emulation.ttcnpp:822 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(2754): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 09:26:32.849861 2755 RAN_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of BSSAP. 09:26:32.849872 2755 RAN_Emulation.ttcnpp:823 BSSMAP: Responding to inbound RESET with RESET-ACK 09:26:32.849928 2755 RAN_Emulation.ttcnpp:824 Sent on BSSAP to VirtMSC-SCCP(2754) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 09:26:32.849934 2755 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:26:32.849946 2755 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000131'O 09:26:32.849952 2755 RAN_Emulation.ttcnpp:824 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000131'O, importance := omit } 09:26:32.851303 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 40959, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 09:26:32.851446 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 09:26:32.851514 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 09:26:32.851585 2760 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 09:26:32.851632 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 40959, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 09:26:32.851658 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 09:26:32.851695 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 09:26:32.851749 2760 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 09:26:32.851793 2760 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.851844 2760 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 09:26:32.851897 2760 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 09:26:32.851929 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 09:26:32.851965 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:26:32.851986 2760 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:26:32.852007 2760 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:26:32.852040 2755 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(2754) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 2 09:26:32.852043 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:26:32.852072 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:26:32.852113 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:26:32.852143 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.852176 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:26:32.852205 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:26:32.852227 2755 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 09:26:32.852249 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:26:32.852280 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.852346 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:26:32.852367 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 09:26:32.852390 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:26:32.852409 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.852509 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:26:32.852532 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 09:26:32.852557 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:32.852578 2760 IPA_Emulation.ttcnpp:627 match_begin result: 7 09:26:32.852600 2760 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 09:26:32.852619 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.852646 2760 IPA_Emulation.ttcnpp:627 match_first result: 23 09:26:32.852666 2760 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 09:26:32.852685 2760 IPA_Emulation.ttcnpp:627 match_list result: 23 09:26:32.852703 2755 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:26:32.852706 2760 IPA_Emulation.ttcnpp:627 match_begin data: connected 09:26:32.852725 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:32.852746 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:32.852767 2760 IPA_Emulation.ttcnpp:627 match_first data: connected 09:26:32.852785 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.852789 2755 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 2 09:26:32.852805 2760 IPA_Emulation.ttcnpp:627 match_first result: -1 09:26:32.852825 2760 IPA_Emulation.ttcnpp:627 match_list data: connected 09:26:32.852844 2760 IPA_Emulation.ttcnpp:627 match_list result: -1 09:26:32.852865 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 09:26:32.852913 2760 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 09:26:32.852957 2755 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 09:26:32.852981 2760 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.853005 2755 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(2754): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 2 09:26:32.853017 2760 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 09:26:32.853048 2755 RAN_Emulation.ttcnpp:540 Message with id 2 was extracted from the queue of BSSAP. 09:26:32.853049 2760 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 09:26:32.853077 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 09:26:32.853087 2755 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 09:26:32.853106 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:26:32.853127 2760 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:26:32.853148 2760 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:26:32.853155 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 09:26:32.853169 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:26:32.853188 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:26:32.853224 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:26:32.853247 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.853268 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:26:32.853287 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:26:32.853314 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:26:32.853333 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.853353 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:26:32.853372 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 09:26:32.853394 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:26:32.853413 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.853436 2760 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:26:32.853455 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 09:26:32.853477 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:32.853496 2760 IPA_Emulation.ttcnpp:627 match_begin result: 7 09:26:32.853515 2760 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 09:26:32.853534 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.853553 2760 IPA_Emulation.ttcnpp:627 match_first result: 21 09:26:32.853572 2760 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 09:26:32.853591 2760 IPA_Emulation.ttcnpp:627 match_list result: 21 09:26:32.853610 2760 IPA_Emulation.ttcnpp:627 match_begin data: connected 09:26:32.853629 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:32.853648 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:32.853668 2760 IPA_Emulation.ttcnpp:627 match_first data: connected 09:26:32.853686 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.853706 2760 IPA_Emulation.ttcnpp:627 match_first result: -1 09:26:32.853724 2760 IPA_Emulation.ttcnpp:627 match_list data: connected 09:26:32.853743 2760 IPA_Emulation.ttcnpp:627 match_list result: -1 09:26:32.853763 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 09:26:32.853794 2760 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 09:26:32.853972 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 09:26:32.855550 2758 Osmocom_Types.ttcn:119 Timeout T: 1 s 09:26:32.858614 2758 BSSAP_LE_Emulation.ttcn:314 Sent on BSSAP_LE to VirtSMLC-SCCP(2757) @BSSAP_LE_CodecPort.BSSAP_LE_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { msg_type := BSSMAP_LE_RESET (48), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit } } } } }, importance := omit } 09:26:32.858901 2758 BSSAP_LE_CodecPort.ttcn:339 enc_PDU_BSSAP_LE(): Encoding @BSSAP_LE_Types.PDU_BSSAP_LE: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { msg_type := BSSMAP_LE_RESET (48), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit } } } } } 09:26:32.859623 2758 BSSAP_LE_CodecPort.ttcn:339 enc_PDU_BSSAP_LE(): Stream after encoding: '000430040100'O 09:26:32.859883 2758 BSSAP_LE_Emulation.ttcn:314 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 09:26:32.860615 2758 BSSAP_LE_Emulation.ttcn:315 Start timer T: 5 s 09:26:32.861142 2757 SCCP_Emulation.ttcn:5513 Message enqueued on SCCP_SP_PORT from VirtSMLC-BSSAP_LE(2758) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } id 1 09:26:32.861458 2757 SCCP_Emulation.ttcn:5651 Matching on port SCCP_SP_PORT succeeded. 09:26:32.861473 2757 SCCP_Emulation.ttcn:5651 Check-receive operation on port SCCP_SP_PORT succeeded, message from VirtSMLC-BSSAP_LE(2758): @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req: { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } id 1 09:26:32.861484 2757 SCCP_Emulation.ttcn:5659 Matching on port SCCP_SP_PORT failed: Type of the first message in the queue is not @SCCPasp_Types.ASP_SCCP_N_DATA_req. 09:26:32.861520 2757 SCCP_Emulation.ttcn:5665 Matching on port SCCP_SP_PORT succeeded: matched 09:26:32.861529 2757 SCCP_Emulation.ttcn:5665 Receive operation on port SCCP_SP_PORT succeeded, message from VirtSMLC-BSSAP_LE(2758): @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } id 1 09:26:32.861545 2757 SCCP_Emulation.ttcn:5665 Message with id 1 was extracted from the queue of SCCP_SP_PORT. 09:26:32.861668 2757 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Encoding @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111011'B, subsystemNumber := 250, globalTitle := omit } 09:26:32.861769 2757 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Stream after encoding: '43BB00FA'O 09:26:32.861813 2757 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Encoding @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111110'B, subsystemNumber := 252, globalTitle := omit } 09:26:32.861823 2757 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Stream after encoding: '43BE00FC'O 09:26:32.862222 2757 SCCP_Emulation.ttcn:5297 Sent on MTP3_SCCP_PORT to VirtSMLC-M3UA(2759) @SCCP_Types.ASP_MTP3_TRANSFERreq_sccp : { sio := '83'O, opc := 190, dpc := 187, sls := 0, data := { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 0, pointer2 := 0, pointer3 := 0, calledPAddress := { paramLength := 4, addr := '43BB00FA'O }, callingPAddress := { paramLength := 4, addr := '43BE00FC'O }, data := { paramLength := 6, data := '000430040100'O } } } } 09:26:32.862316 2757 SCCP_Mapping.ttcnpp:100 enc_PDU_SCCP(): Encoding @SCCP_Types.PDU_SCCP: { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 0, pointer2 := 0, pointer3 := 0, calledPAddress := { paramLength := 4, addr := '43BB00FA'O }, callingPAddress := { paramLength := 4, addr := '43BE00FC'O }, data := { paramLength := 6, data := '000430040100'O } } } 09:26:32.862633 2757 SCCP_Mapping.ttcnpp:100 enc_PDU_SCCP(): Stream after encoding: '090003070B0443BB00FA0443BE00FC06000430040100'O 09:26:32.862877 2757 SCCP_Emulation.ttcn:5297 Outgoing message was mapped to @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, data := '090003070B0443BB00FA0443BE00FC06000430040100'O } 09:26:32.863580 2759 M3UA_Emulation.ttcn:596 Message enqueued on MTP3_SP_PORT from VirtSMLC-SCCP(2757) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, data := '090003070B0443BB00FA0443BE00FC06000430040100'O } id 1 09:26:32.863944 2759 M3UA_Emulation.ttcn:597 Matching on port MTP3_SP_PORT succeeded: matched 09:26:32.864021 2759 M3UA_Emulation.ttcn:597 Receive operation on port MTP3_SP_PORT succeeded, message from VirtSMLC-SCCP(2757): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, data := '090003070B0443BB00FA0443BE00FC06000430040100'O } id 1 09:26:32.864059 2759 M3UA_Emulation.ttcn:597 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 09:26:32.864565 2759 M3UA_Emulation.ttcn:616 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_DATA := { version := '01'O, reserved := '00'O, messageClassAndType := '0101'O, messageLength := 0, messageParameters := { network_Appearance := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, protocol_Data := { tag := '0210'O, lengthInd := 0, oPC := '000000BE'O, dPC := '000000BB'O, sI := '03'O, nI := '02'O, mP := '00'O, sLS := '00'O, userProtocolData := '090003070B0443BB00FA0443BE00FC06000430040100'O }, correlation_ID := omit } } } 09:26:32.864738 2759 M3UA_Emulation.ttcn:616 enc_PDU_M3UA(): Stream after encoding: '0100010100000038000600080000000602100026000000BE000000BB03020000090003070B0443BB00FA0443BE00FC060004300401000000'O 09:26:32.864812 2759 M3UA_Emulation.ttcn:616 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 1, sinfo_ppid := 3, data := '0100010100000038000600080000000602100026000000BE000000BB03020000090003070B0443BB00FA0443BE00FC060004300401000000'O } 09:26:32.867029 2759 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 1, sinfo_ppid := 3, data := '010001010000003402100023000000BB000000BE03000000090003070B0443BE00FC0443BB00FA03000131000006000800000006'O } id 7 09:26:32.867158 2759 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:26:32.867201 2759 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:26:32.867261 2759 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 1, sinfo_ppid := 3, data := '010001010000003402100023000000BB000000BE03000000090003070B0443BE00FC0443BB00FA03000131000006000800000006'O } id 7 09:26:32.867294 2759 M3UA_Emulation.ttcn:711 Message with id 7 was extracted from the queue of SCTP_PORT. 09:26:32.867323 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '010001010000003402100023000000BB000000BE03000000090003070B0443BE00FC0443BB00FA03000131000006000800000006'O 09:26:32.867483 2759 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_DATA := { version := '01'O, reserved := '00'O, messageClassAndType := '0101'O, messageLength := 52, messageParameters := { network_Appearance := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, protocol_Data := { tag := '0210'O, lengthInd := 35, oPC := '000000BB'O, dPC := '000000BE'O, sI := '03'O, nI := '00'O, mP := '00'O, sLS := '00'O, userProtocolData := '090003070B0443BE00FC0443BB00FA03000131'O }, correlation_ID := omit } } } 09:26:32.868126 2759 M3UA_Emulation.ttcn:996 Sent on MTP3_SP_PORT to VirtSMLC-SCCP(2757) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '00'B, prio := '00'B, si := '0011'B }, opc := 187, dpc := 190, sls := 0, data := '090003070B0443BE00FC0443BB00FA03000131'O } 09:26:32.868702 2757 SCCP_Emulation.ttcn:5513 Message enqueued on MTP3_SCCP_PORT from VirtSMLC-M3UA(2759) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '00'B, prio := '00'B, si := '0011'B }, opc := 187, dpc := 190, sls := 0, data := '090003070B0443BE00FC0443BB00FA03000131'O } id 1 09:26:32.868934 2757 SCCP_Mapping.ttcnpp:120 dec_PDU_SCCP(): Stream before decoding: '090003070B0443BE00FC0443BB00FA03000131'O 09:26:32.869536 2757 SCCP_Mapping.ttcnpp:120 dec_PDU_SCCP(): Decoded @SCCP_Types.PDU_SCCP: { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 3, pointer2 := 7, pointer3 := 11, calledPAddress := { paramLength := 4, addr := '43BE00FC'O }, callingPAddress := { paramLength := 4, addr := '43BB00FA'O }, data := { paramLength := 3, data := '000131'O } } } 09:26:32.869688 2757 SCCP_Emulation.ttcn:5513 Incoming message was mapped to @SCCP_Types.PDU_SCCP : { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 3, pointer2 := 7, pointer3 := 11, calledPAddress := { paramLength := 4, addr := '43BE00FC'O }, callingPAddress := { paramLength := 4, addr := '43BB00FA'O }, data := { paramLength := 3, data := '000131'O } } } id 1 09:26:32.869924 2757 SCCP_Emulation.ttcn:5517 Matching on port MTP3_SCCP_PORT succeeded: matched 09:26:32.869992 2757 SCCP_Emulation.ttcn:5517 Receive operation on port MTP3_SCCP_PORT succeeded, message from VirtSMLC-M3UA(2759): @SCCP_Types.PDU_SCCP : { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 3, pointer2 := 7, pointer3 := 11, calledPAddress := { paramLength := 4, addr := '43BE00FC'O }, callingPAddress := { paramLength := 4, addr := '43BB00FA'O }, data := { paramLength := 3, data := '000131'O } } } id 1 09:26:32.870098 2757 SCCP_Emulation.ttcn:5517 Message with id 1 was extracted from the queue of MTP3_SCCP_PORT. 09:26:32.870225 2757 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Stream before decoding: '43BE00FC'O 09:26:32.870479 2757 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Decoded @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111110'B, subsystemNumber := 252, globalTitle := omit } 09:26:32.870734 2757 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Stream before decoding: '43BB00FA'O 09:26:32.870772 2757 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Decoded @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111011'B, subsystemNumber := 250, globalTitle := omit } 09:26:32.871066 2757 SCCP_Emulation.ttcn:3538 Sent on SCCP_SP_PORT to VirtSMLC-BSSAP_LE(2758) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } 09:26:32.871710 2758 BSSAP_LE_Emulation.ttcn:316 Message enqueued on BSSAP_LE from VirtSMLC-SCCP(2757) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 09:26:32.871940 2758 BSSAP_LE_CodecPort.ttcn:277 dec_PDU_BSSAP_LE(): Stream before decoding: '000131'O 09:26:32.872384 2758 BSSAP_LE_CodecPort.ttcn:277 dec_PDU_BSSAP_LE(): Decoded @BSSAP_LE_Types.PDU_BSSAP_LE: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { reset_ack := { msg_type := BSSMAP_LE_RESET_ACK (49) } } } } 09:26:32.872499 2758 BSSAP_LE_Emulation.ttcn:316 Incoming message was mapped to @BSSAP_LE_CodecPort.BSSAP_LE_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { reset_ack := { msg_type := BSSMAP_LE_RESET_ACK (49) } } } }, importance := omit } id 1 09:26:32.873009 2758 BSSAP_LE_Emulation.ttcn:317 Matching on port BSSAP_LE succeeded: matched 09:26:32.873097 2758 BSSAP_LE_Emulation.ttcn:317 Receive operation on port BSSAP_LE succeeded, message from VirtSMLC-SCCP(2757): @BSSAP_LE_CodecPort.BSSAP_LE_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { reset_ack := { msg_type := BSSMAP_LE_RESET_ACK (49) } } } }, importance := omit } id 1 09:26:32.873144 2758 BSSAP_LE_Emulation.ttcn:317 Message with id 1 was extracted from the queue of BSSAP_LE. 09:26:32.873206 2758 BSSAP_LE_Emulation.ttcn:318 BSSMAP-LE: Received RESET-ACK in response to RESET, we're ready to go! 09:26:32.883439 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 09:26:32.883621 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 09:26:32.897186 2762 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:32.897388 2762 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: IPA-BTS0-TRX0-RSL-IPA(2762), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 09:26:32.897433 2762 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:32.897538 2762 - Connected to MC. 09:26:32.897568 2762 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:32.897909 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 2762, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 09:26:32.898095 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 09:26:32.898185 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 09:26:32.898240 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 09:26:32.901431 2762 - Port IPA_PORT was started. 09:26:32.901479 2762 - Port CFG_PORT was started. 09:26:32.901494 2762 - Port MTP3_SP_PORT was started. 09:26:32.901506 2762 - Port IPA_MGCP_PORT was started. 09:26:32.901517 2762 - Port IPA_RSL_PORT was started. 09:26:32.901529 2762 - Port IPA_OML_PORT was started. 09:26:32.901540 2762 - Port IPA_CTRL_PORT was started. 09:26:32.901552 2762 - Port IPA_SP_PORT was started. 09:26:32.901564 2762 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 09:26:32.905321 2763 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:32.905406 2763 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: IPA-BTS0-TRX0-RSL-RSL(2763), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 09:26:32.905424 2763 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:32.905462 2763 - Connected to MC. 09:26:32.905474 2763 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:32.905637 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 2763, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 09:26:32.905717 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(2763):CCHAN_PT and mtc:RSL_CCHAN[0]. 09:26:32.905995 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(2763):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-3a77f91e. 09:26:32.907315 2763 - Port IPA_PT was started. 09:26:32.907338 2763 - Port CLIENT_PT was started. 09:26:32.907346 2763 - Port RSL_PROC was started. 09:26:32.907353 2763 - Port CCHAN_PT was started. 09:26:32.907359 2763 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 09:26:32.907402 2763 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 09:26:32.907594 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(2763):CCHAN_PT. 09:26:32.907696 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(2763):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 09:26:32.907737 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(2762):IPA_PORT to system:IPA_CODEC_PT. 09:26:32.908022 2762 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 09:26:32.908277 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(2762):IPA_PORT to system:IPA_CODEC_PT finished. 09:26:32.908389 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(2762):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 09:26:32.908764 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(2762):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-695f8b6e. 09:26:32.908952 2762 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 09:26:32.909164 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(2762):CFG_PORT. 09:26:32.909263 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(2762):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 09:26:32.909311 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(2762):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(2763):IPA_PT. 09:26:32.909666 2762 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(2763):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-1d35ad8b. 09:26:32.909796 2763 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(2762):IPA_RSL_PORT using transport type UNIX. 09:26:32.909926 2762 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(2763):IPA_PT. 09:26:32.910108 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(2762):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(2763):IPA_PT finished. 09:26:32.910216 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.149.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(2762). 09:26:32.910347 mtc BSC_Tests.ttcn:999 Function was started. 09:26:32.910389 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(2763). 09:26:32.910477 mtc BSC_Tests.ttcn:1001 Function was started. 09:26:32.910515 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 09:26:32.910558 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.673623. 09:26:32.910586 2762 - Starting function main_client("172.18.149.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 09:26:32.910627 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "673622977", variable := "bts.0.oml-connection-state", val := omit } } 09:26:32.910670 2763 - Starting function main(true). 09:26:32.910681 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 09:26:32.910740 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "673622977", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 09:26:32.910791 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 09:26:32.910796 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "673622977", variable := "bts.0.oml-connection-state", val := omit } } id 2 09:26:32.910830 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(2760): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 09:26:32.910888 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 09:26:32.910892 2760 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 09:26:32.910918 2760 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "673622977", variable := "bts.0.oml-connection-state", val := omit } } id 2 09:26:32.910936 2760 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 09:26:32.910942 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "673622977", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 09:26:32.910949 2760 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "673622977", variable := "bts.0.oml-connection-state", val := omit } } 09:26:32.910972 2760 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 673622977 bts.0.oml-connection-state" 09:26:32.910981 2762 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.149.20:3003 / TCP 09:26:32.910985 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 09:26:32.911017 2760 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 673622977 bts.0.oml-connection-state") } 09:26:32.911022 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(2760): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 09:26:32.911040 2760 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 673622977 bts.0.oml-connection-state") } 09:26:32.911056 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 09:26:32.911077 2760 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 09:26:32.911117 2760 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 09:26:32.911603 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 40959, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 09:26:32.911675 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 09:26:32.911713 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 673622977 bts.0.oml-connection-state degraded") } 09:26:32.911755 2760 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 673622977 bts.0.oml-connection-state degraded") } id 4 09:26:32.911779 2760 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.911804 2760 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920363733363232393737206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 673622977 bts.0.oml-connection-state degraded") } id 4 09:26:32.911824 2760 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 09:26:32.911841 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 673622977 bts.0.oml-connection-state degraded" 09:26:32.911863 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 673622977 bts.0.oml-connection-state degraded 09:26:32.911875 2760 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:26:32.911887 2760 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:26:32.911913 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 673622977 bts.0.oml-connection-state degraded 09:26:32.911925 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:26:32.911942 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:32.911953 2760 IPA_Emulation.ttcnpp:627 match_begin result: 3 09:26:32.911965 2760 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 673622977 bts.0.oml-connection-state degraded 09:26:32.911976 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:32.911988 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:32.912009 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 673622977 bts.0.oml-connection-state degraded 09:26:32.912020 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:26:32.912035 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:32.912047 2760 IPA_Emulation.ttcnpp:627 match_begin result: 9 09:26:32.912058 2760 IPA_Emulation.ttcnpp:627 match_begin data: 673622977 bts.0.oml-connection-state degraded 09:26:32.912069 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:32.912080 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:32.912092 2760 IPA_Emulation.ttcnpp:627 match_first data: 673622977 bts.0.oml-connection-state degraded 09:26:32.912103 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.912115 2760 IPA_Emulation.ttcnpp:627 match_first result: 9 09:26:32.912139 2760 IPA_Emulation.ttcnpp:627 match_list data: 673622977 bts.0.oml-connection-state degraded 09:26:32.912151 2760 IPA_Emulation.ttcnpp:627 match_list result: 9 09:26:32.912161 2760 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 09:26:32.912172 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:32.912183 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:32.912194 2760 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 09:26:32.912205 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.912217 2760 IPA_Emulation.ttcnpp:627 match_first result: 26 09:26:32.912228 2760 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 09:26:32.912240 2760 IPA_Emulation.ttcnpp:627 match_list result: 26 09:26:32.912251 2760 IPA_Emulation.ttcnpp:627 match_begin data: degraded 09:26:32.912263 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:32.912275 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:32.912286 2760 IPA_Emulation.ttcnpp:627 match_first data: degraded 09:26:32.912298 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:32.912310 2760 IPA_Emulation.ttcnpp:627 match_first result: -1 09:26:32.912321 2760 IPA_Emulation.ttcnpp:627 match_list data: degraded 09:26:32.912332 2760 IPA_Emulation.ttcnpp:627 match_list result: -1 09:26:32.912345 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "673622977", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:26:32.912372 2760 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "673622977", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:26:32.912554 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "673622977", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 09:26:32.912699 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 09:26:32.912757 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(2760): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "673622977", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 09:26:32.912803 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 09:26:32.912850 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 09:26:32.913296 2762 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:26:32.913596 2762 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 09:26:32.914086 2762 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:26:32.914444 2762 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 09:26:32.914714 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 09:26:32.914839 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 09:26:32.915029 2762 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 09:26:32.916210 2762 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 09:26:32.917099 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:26:32.917601 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 09:26:32.917674 2763 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:26:32.917730 2763 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 09:26:32.918639 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 09:26:32.918740 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 09:26:32.919073 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 09:26:32.919131 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 09:26:32.919209 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 09:26:32.919233 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 09:26:32.919252 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 09:26:32.919272 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 09:26:32.919465 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 09:26:32.919522 2762 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 09:26:32.919549 2762 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 09:26:32.919569 2762 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 09:26:32.919640 2762 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 09:26:32.919685 2762 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 09:26:32.920219 2762 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 09:26:32.920364 2762 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 09:26:32.920404 2762 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 09:26:32.920549 2762 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 09:26:32.920580 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 09:26:32.920615 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 09:26:32.920651 2762 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 09:26:32.920756 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 09:26:32.920781 2762 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 09:26:32.920798 2762 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 09:26:32.920810 2762 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 09:26:32.920825 2762 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:26:32.920837 2762 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:26:32.920868 2762 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 09:26:32.920939 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 09:26:32.921244 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 09:26:32.921301 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 09:26:32.921317 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 09:26:32.921359 2763 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 09:26:32.921412 2763 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 09:26:32.922922 2763 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 09:26:32.924648 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 09:26:32.925105 2762 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:26:32.925171 2762 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 09:26:32.925216 2762 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 09:26:32.925310 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 09:26:32.925843 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 09:26:32.925927 2762 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 09:26:32.925951 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 09:26:32.925976 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 09:26:32.926007 2762 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 09:26:32.926131 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 09:26:32.926152 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E500002B'O 09:26:32.926179 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } 09:26:32.926206 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 09:26:32.926227 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 09:26:32.926241 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50000'O 09:26:32.926260 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } 09:26:32.926283 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 09:26:32.926318 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 09:26:32.926341 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 09:26:32.926361 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 09:26:32.926379 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 09:26:32.926397 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 09:26:32.926409 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 09:26:32.926426 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 09:26:32.926446 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 09:26:32.926463 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 09:26:32.926482 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 09:26:32.926498 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 09:26:32.926518 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 09:26:32.926535 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 09:26:32.926547 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 09:26:32.926563 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } 09:26:32.926583 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 09:26:32.926601 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 09:26:32.926613 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 09:26:32.926628 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } 09:26:32.926647 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 09:26:32.926664 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 09:26:32.926676 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 09:26:32.926691 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 09:26:32.926709 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 09:26:32.926725 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 09:26:32.926744 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 09:26:32.926759 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 09:26:32.926776 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 09:26:32.926791 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 09:26:32.926803 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 09:26:32.926815 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 09:26:32.926829 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 09:26:32.926844 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 09:26:32.926856 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 09:26:32.926871 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 09:26:32.926889 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 09:26:32.926905 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 09:26:32.926916 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 09:26:32.926931 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 09:26:32.926947 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 09:26:32.926966 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 09:26:32.926978 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 09:26:32.926997 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 09:26:32.927025 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 09:26:32.927154 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.927182 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.927197 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O } id 3 09:26:32.927212 2762 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 09:26:32.927270 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E500002B'O 09:26:32.927816 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } 09:26:32.928917 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 09:26:32.929119 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929134 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929145 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O } id 4 09:26:32.929156 2762 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 09:26:32.929165 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50000'O 09:26:32.929187 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } 09:26:32.929250 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 09:26:32.929277 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929287 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929297 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 09:26:32.929306 2762 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 09:26:32.929314 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 09:26:32.929326 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 09:26:32.929352 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 09:26:32.929366 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929374 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929383 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 09:26:32.929392 2762 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 09:26:32.929400 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 09:26:32.929414 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 09:26:32.929444 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 09:26:32.929459 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929471 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929480 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 09:26:32.929488 2762 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 09:26:32.929491 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 09:26:32.929496 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 09:26:32.929511 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 09:26:32.929539 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 09:26:32.929553 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929562 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929571 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O } id 8 09:26:32.929579 2762 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 09:26:32.929588 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E500000C132B2B'O 09:26:32.929590 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.929604 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } 09:26:32.929604 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.929612 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.929631 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 09:26:32.929645 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929655 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929664 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O } id 9 09:26:32.929672 2762 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 09:26:32.929679 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5000064304367012B2B2B2B2B'O 09:26:32.929691 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } 09:26:32.929717 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 09:26:32.929730 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929738 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929747 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 09:26:32.929755 2762 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 09:26:32.929762 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 09:26:32.929775 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 09:26:32.929800 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 09:26:32.929813 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929821 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929832 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 09:26:32.929840 2762 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 09:26:32.929847 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 09:26:32.929880 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 09:26:32.929953 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 09:26:32.929958 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.929970 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.929979 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.929986 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 09:26:32.929994 2762 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 09:26:32.930001 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 09:26:32.930010 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 09:26:32.930027 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 09:26:32.930038 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.930046 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.930054 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 09:26:32.930059 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.930062 2762 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 09:26:32.930069 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 09:26:32.930081 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 09:26:32.930105 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 09:26:32.930118 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.930123 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.930125 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.930134 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 09:26:32.930142 2762 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 09:26:32.930146 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.930148 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 09:26:32.930159 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 09:26:32.930161 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 3 09:26:32.930174 2763 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 09:26:32.930180 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 09:26:32.930193 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:32.930200 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:32.930209 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 09:26:32.930217 2762 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 09:26:32.930224 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 09:26:32.930262 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } 09:26:32.930295 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 09:26:32.930421 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 09:26:32.930449 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 09:26:32.930462 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E500002B'O } } } } } } id 1 09:26:32.930469 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 09:26:32.930483 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 09:26:32.930496 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 09:26:32.930498 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 09:26:32.930514 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 09:26:32.930528 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 09:26:32.930560 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 09:26:32.930576 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 09:26:32.930588 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 09:26:32.930601 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 09:26:32.930611 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930618 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930625 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930644 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.930668 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.930682 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.930713 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.930726 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 4 09:26:32.930743 2763 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 09:26:32.930754 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } 09:26:32.930768 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930774 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930780 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930863 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:26:32.930890 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.930892 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50000'O } } } } } } id 2 09:26:32.930903 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.930912 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.930922 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 09:26:32.930931 2763 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 09:26:32.930940 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 09:26:32.930954 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930960 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930966 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.930981 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.931001 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931013 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.931021 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.931031 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 09:26:32.931039 2763 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 09:26:32.931039 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 09:26:32.931049 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 09:26:32.931061 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931067 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931073 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931088 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.931109 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931112 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 09:26:32.931121 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.931130 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.931139 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 09:26:32.931148 2763 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 09:26:32.931158 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 09:26:32.931168 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931174 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931179 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931194 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.931194 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 09:26:32.931215 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931227 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.931235 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.931245 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 8 09:26:32.931254 2763 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 09:26:32.931264 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } 09:26:32.931276 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931282 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931288 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931304 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.931324 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931340 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.931349 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.931354 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E500000C132B2B'O } } } } } } id 6 09:26:32.931359 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 9 09:26:32.931369 2763 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 09:26:32.931380 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } 09:26:32.931392 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931398 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931404 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931419 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.931439 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931451 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:32.931460 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:26:32.931464 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5000064304367012B2B2B2B2B'O } } } } } } id 7 09:26:32.931472 2763 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 09:26:32.931482 2763 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 09:26:32.931493 2763 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 09:26:32.931504 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931511 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931517 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931533 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:26:32.931546 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 09:26:32.931553 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931566 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:26:32.931577 2763 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 09:26:32.931587 2763 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 09:26:32.931598 2763 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 09:26:32.931614 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931621 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931627 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931645 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.931665 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931679 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:26:32.931688 2763 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 09:26:32.931696 2763 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 09:26:32.931705 2763 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 09:26:32.931705 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 09:26:32.931715 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931722 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931729 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931745 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:26:32.931766 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931780 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:26:32.931790 2763 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 09:26:32.931800 2763 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 09:26:32.931805 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 09:26:32.931810 2763 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 09:26:32.931821 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931828 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931834 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.931850 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:26:32.931869 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.931881 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 09:26:32.931882 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:26:32.931892 2763 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 09:26:32.931902 2763 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 09:26:32.931912 2763 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 09:26:32.931965 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 09:26:32.932017 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 09:26:32.932033 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.932040 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.932047 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:32.932068 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:32.932093 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:32.932107 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:26:32.932120 2763 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 09:26:32.932133 2763 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 09:26:32.932146 2763 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 09:26:32.932305 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 09:26:33.012906 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 09:26:33.013150 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.362068. 09:26:33.013264 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "362068200", variable := "bts.0.oml-connection-state", val := omit } } 09:26:33.013374 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 09:26:33.013578 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "362068200", variable := "bts.0.oml-connection-state", val := omit } } id 3 09:26:33.013822 2760 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 09:26:33.013867 2760 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "362068200", variable := "bts.0.oml-connection-state", val := omit } } id 3 09:26:33.013900 2760 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 09:26:33.013923 2760 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "362068200", variable := "bts.0.oml-connection-state", val := omit } } 09:26:33.013964 2760 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 362068200 bts.0.oml-connection-state" 09:26:33.014047 2760 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 362068200 bts.0.oml-connection-state") } 09:26:33.014089 2760 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 362068200 bts.0.oml-connection-state") } 09:26:33.014153 2760 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 09:26:33.014203 2760 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 09:26:33.014897 2760 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 40959, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 09:26:33.015034 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 09:26:33.015100 2760 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 362068200 bts.0.oml-connection-state connected") } 09:26:33.015175 2760 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 362068200 bts.0.oml-connection-state connected") } id 5 09:26:33.015217 2760 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:33.015261 2760 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920333632303638323030206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 362068200 bts.0.oml-connection-state connected") } id 5 09:26:33.015293 2760 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 09:26:33.015324 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 362068200 bts.0.oml-connection-state connected" 09:26:33.015361 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 362068200 bts.0.oml-connection-state connected 09:26:33.015383 2760 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:26:33.015405 2760 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:26:33.015447 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 362068200 bts.0.oml-connection-state connected 09:26:33.015468 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:26:33.015496 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:33.015517 2760 IPA_Emulation.ttcnpp:627 match_begin result: 3 09:26:33.015537 2760 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 362068200 bts.0.oml-connection-state connected 09:26:33.015556 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:33.015578 2760 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:26:33.015612 2760 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 362068200 bts.0.oml-connection-state connected 09:26:33.015631 2760 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:26:33.015659 2760 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:26:33.015679 2760 IPA_Emulation.ttcnpp:627 match_begin result: 9 09:26:33.015699 2760 IPA_Emulation.ttcnpp:627 match_begin data: 362068200 bts.0.oml-connection-state connected 09:26:33.015718 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:33.015738 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:33.015758 2760 IPA_Emulation.ttcnpp:627 match_first data: 362068200 bts.0.oml-connection-state connected 09:26:33.015777 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:33.015796 2760 IPA_Emulation.ttcnpp:627 match_first result: 9 09:26:33.015815 2760 IPA_Emulation.ttcnpp:627 match_list data: 362068200 bts.0.oml-connection-state connected 09:26:33.015835 2760 IPA_Emulation.ttcnpp:627 match_list result: 9 09:26:33.015855 2760 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 09:26:33.015874 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:33.015893 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:33.015912 2760 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 09:26:33.015931 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:33.015950 2760 IPA_Emulation.ttcnpp:627 match_first result: 26 09:26:33.015968 2760 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 09:26:33.015996 2760 IPA_Emulation.ttcnpp:627 match_list result: 26 09:26:33.016015 2760 IPA_Emulation.ttcnpp:627 match_begin data: connected 09:26:33.016034 2760 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:26:33.016053 2760 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:26:33.016072 2760 IPA_Emulation.ttcnpp:627 match_first data: connected 09:26:33.016091 2760 IPA_Emulation.ttcnpp:627 match_first token: " " 09:26:33.016110 2760 IPA_Emulation.ttcnpp:627 match_first result: -1 09:26:33.016129 2760 IPA_Emulation.ttcnpp:627 match_list data: connected 09:26:33.016148 2760 IPA_Emulation.ttcnpp:627 match_list result: -1 09:26:33.016168 2760 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "362068200", variable := "bts.0.oml-connection-state", val := "connected" } } 09:26:33.016211 2760 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "362068200", variable := "bts.0.oml-connection-state", val := "connected" } } 09:26:33.016445 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(2760) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "362068200", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 09:26:33.016630 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 09:26:33.016704 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(2760): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "362068200", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 09:26:33.016764 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 09:26:33.016822 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 09:26:33.016879 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 09:26:34.017236 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 09:26:34.017473 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:34.017659 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:34.018171 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 33 09:26:34.018517 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:34.018661 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:34.018814 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:34.018879 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 33 09:26:34.018937 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 33 was extracted from the queue of BSCVTY. 09:26:34.018995 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:34.019062 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 09:26:34.019239 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:34.019503 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 34 09:26:34.019628 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:34.019738 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:34.019870 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 09:26:34.019929 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 34 09:26:34.019981 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 34 was extracted from the queue of BSCVTY. 09:26:34.020034 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:34.020092 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 09:26:34.020195 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:34.020659 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 35 09:26:34.020979 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:34.021119 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:34.021273 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 09:26:34.021336 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 35 09:26:34.021392 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 35 was extracted from the queue of BSCVTY. 09:26:34.021449 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:34.021520 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 09:26:34.021661 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:34.022073 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 36 09:26:34.022271 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:34.022429 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:34.022578 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 09:26:34.022640 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 36 09:26:34.022694 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 36 was extracted from the queue of BSCVTY. 09:26:34.022749 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:34.022809 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 09:26:34.022938 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:34.023295 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 37 09:26:34.023565 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:34.023705 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:34.023769 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 37 09:26:34.023826 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 37 was extracted from the queue of BSCVTY. 09:26:34.023914 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:34.023984 mtc Osmocom_VTY_Functions.ttcn:333 "TC_assignment_emerg_setup_deny_msc() start" 09:26:34.024090 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_assignment_emerg_setup_deny_msc() start" 09:26:34.024229 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:34.024828 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 38 09:26:34.025130 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:34.025271 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:34.025335 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 38 09:26:34.025392 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 38 was extracted from the queue of BSCVTY. 09:26:34.025445 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:34.025515 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 09:26:34.059010 2759 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 09:26:34.059191 2759 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 09:26:34.059231 2759 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 09:26:34.059253 2759 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 09:26:35.025905 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 09:26:35.026159 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:35.026414 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.027028 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 39 09:26:35.027341 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.027480 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.027626 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.027691 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 39 09:26:35.027749 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 39 was extracted from the queue of BSCVTY. 09:26:35.027803 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.027874 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 09:26:35.028016 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.028416 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 40 09:26:35.028604 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.028733 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.028877 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.028938 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 40 09:26:35.028991 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 40 was extracted from the queue of BSCVTY. 09:26:35.029046 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.029107 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-emergency deny" 09:26:35.029227 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.029624 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 41 09:26:35.029930 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.030067 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.030253 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.030345 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 41 09:26:35.030405 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 41 was extracted from the queue of BSCVTY. 09:26:35.030462 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.030529 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:35.030673 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.030988 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 42 09:26:35.031121 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.031227 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.031359 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.031415 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 42 09:26:35.031464 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 42 was extracted from the queue of BSCVTY. 09:26:35.031515 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.031567 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:35.031672 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.032010 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 43 09:26:35.032323 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.032463 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.032525 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 43 09:26:35.032580 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 43 was extracted from the queue of BSCVTY. 09:26:35.032636 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.032710 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:26:35.032850 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.033232 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 44 09:26:35.033421 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.033553 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.033701 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.033764 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 44 09:26:35.033818 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 44 was extracted from the queue of BSCVTY. 09:26:35.033874 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.033932 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 09:26:35.034052 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.034415 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 45 09:26:35.034648 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.034817 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.034968 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.035031 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 45 09:26:35.035087 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 45 was extracted from the queue of BSCVTY. 09:26:35.035143 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.035212 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 09:26:35.035345 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.035656 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 46 09:26:35.035787 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.035892 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.036022 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.036079 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 46 09:26:35.036130 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 46 was extracted from the queue of BSCVTY. 09:26:35.036182 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.036239 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "rach emergency call allowed 1" 09:26:35.036340 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.036693 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 47 09:26:35.036811 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.036913 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.037036 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.037093 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 47 09:26:35.037141 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 47 was extracted from the queue of BSCVTY. 09:26:35.037191 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.037243 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:35.037337 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.037648 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 48 09:26:35.037762 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.037860 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.037979 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.038033 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 48 09:26:35.038080 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 48 was extracted from the queue of BSCVTY. 09:26:35.038160 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.038213 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:35.038329 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.038603 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 49 09:26:35.038717 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.038816 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.038934 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:26:35.038988 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 49 09:26:35.039034 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 49 was extracted from the queue of BSCVTY. 09:26:35.039084 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.039135 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:26:35.039226 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.039522 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 50 09:26:35.039804 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.039944 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.040005 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 50 09:26:35.040062 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 50 was extracted from the queue of BSCVTY. 09:26:35.040115 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.040201 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.437529. 09:26:35.040379 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.535043. 09:26:35.040441 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.328784. 09:26:35.040488 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.191555. 09:26:35.040533 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.086265. 09:26:35.040580 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.926795. 09:26:35.040625 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.386722. 09:26:35.040670 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.409504. 09:26:35.040714 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.917468. 09:26:35.040758 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.371904. 09:26:35.040803 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.898029. 09:26:35.040847 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.930009. 09:26:35.040892 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.976638. 09:26:35.040936 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.310800. 09:26:35.040980 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.818907. 09:26:35.041025 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.243591. 09:26:35.041070 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.362360. 09:26:35.041115 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.377824. 09:26:35.041159 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.025331. 09:26:35.041204 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.397754. 09:26:35.041249 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.232423. 09:26:35.041293 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.609182. 09:26:35.041338 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.671492. 09:26:35.041644 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_assignment_emerg_setup_deny_msc. 09:26:35.049374 2764 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:26:35.049433 2764 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: TC_assignment_emerg_setup_deny_msc(2764), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_assignment_emerg_setup_deny_msc. Version: 9.0.0. 09:26:35.049444 2764 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:26:35.049469 2764 - Connected to MC. 09:26:35.049477 2764 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:35.049643 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 2764, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_assignment_emerg_setup_deny_msc. 09:26:35.049803 mtc BSC_Tests.ttcn:4011 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):RAN and VirtMSC-RAN(2755):PROC. 09:26:35.050282 2755 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):RAN on UNIX pathname /tmp/ttcn3-portconn-5d60bcc6. 09:26:35.054239 2764 - Port BSSAP_LE was started. 09:26:35.054252 2764 - Port BSSAP_LE_PROC was started. 09:26:35.054255 2764 - Port MGCP was started. 09:26:35.054258 2764 - Port MGCP_MULTI was started. 09:26:35.054261 2764 - Port MGCP_PROC was started. 09:26:35.054264 2764 - Port BSSAP was started. 09:26:35.054267 2764 - Port BSSAP_PROC was started. 09:26:35.054269 2764 - Port RSL was started. 09:26:35.054272 2764 - Port RSL_PROC was started. 09:26:35.054275 2764 - Port RSL1 was started. 09:26:35.054278 2764 - Port RSL1_PROC was started. 09:26:35.054281 2764 - Port RSL2 was started. 09:26:35.054284 2764 - Port RSL2_PROC was started. 09:26:35.054287 2764 - Port STATSD_PROC was started. 09:26:35.054289 2764 - Port RAN was started. 09:26:35.054292 2764 - Port BSCVTY was started. 09:26:35.054295 2764 - Port COORD was started. 09:26:35.054302 2764 - Port COORD2 was started. 09:26:35.054305 2764 - Port MGCP_MSC_CLIENT was started. 09:26:35.054308 2764 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 09:26:35.054349 2764 - Port RAN has established the connection with VirtMSC-RAN(2755):PROC using transport type UNIX. 09:26:35.054451 2755 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):RAN. 09:26:35.054609 mtc BSC_Tests.ttcn:4011 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):RAN and VirtMSC-RAN(2755):PROC finished. 09:26:35.054652 mtc BSC_Tests.ttcn:4012 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):RSL and IPA-BTS0-TRX0-RSL-RSL(2763):CLIENT_PT. 09:26:35.054887 2763 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):RSL on UNIX pathname /tmp/ttcn3-portconn-132bf4c7. 09:26:35.055002 2764 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(2763):CLIENT_PT using transport type UNIX. 09:26:35.055022 2763 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):RSL. 09:26:35.055164 mtc BSC_Tests.ttcn:4012 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):RSL and IPA-BTS0-TRX0-RSL-RSL(2763):CLIENT_PT finished. 09:26:35.055319 mtc BSC_Tests.ttcn:4013 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(2763):RSL_PROC. 09:26:35.055824 2763 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-4a6ca280. 09:26:35.056108 2764 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(2763):RSL_PROC using transport type UNIX. 09:26:35.056196 2763 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):RSL_PROC. 09:26:35.056470 mtc BSC_Tests.ttcn:4013 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(2763):RSL_PROC finished. 09:26:35.056641 mtc BSC_Tests.ttcn:4022 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):BSSAP and VirtMSC-RAN(2755):CLIENT. 09:26:35.057101 2755 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):BSSAP on UNIX pathname /tmp/ttcn3-portconn-1b74ebda. 09:26:35.057311 2764 - Port BSSAP has established the connection with VirtMSC-RAN(2755):CLIENT using transport type UNIX. 09:26:35.057388 2755 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):BSSAP. 09:26:35.057560 mtc BSC_Tests.ttcn:4022 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):BSSAP and VirtMSC-RAN(2755):CLIENT finished. 09:26:35.057716 mtc BSC_Tests.ttcn:4024 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE and VirtSMLC-BSSAP_LE(2758):CLIENT. 09:26:35.058257 2758 BSSAP_LE_Emulation.ttcn:522 Port CLIENT is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE on UNIX pathname /tmp/ttcn3-portconn-1c2ba79a. 09:26:35.058483 2764 - Port BSSAP_LE has established the connection with VirtSMLC-BSSAP_LE(2758):CLIENT using transport type UNIX. 09:26:35.058565 2758 BSSAP_LE_Emulation.ttcn:522 Port CLIENT has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE. 09:26:35.058732 mtc BSC_Tests.ttcn:4024 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE and VirtSMLC-BSSAP_LE(2758):CLIENT finished. 09:26:35.058890 mtc BSC_Tests.ttcn:4025 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE_PROC and VirtSMLC-BSSAP_LE(2758):PROC. 09:26:35.059321 2758 BSSAP_LE_Emulation.ttcn:522 Port PROC is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE_PROC on UNIX pathname /tmp/ttcn3-portconn-67dbf88. 09:26:35.059529 2764 - Port BSSAP_LE_PROC has established the connection with VirtSMLC-BSSAP_LE(2758):PROC using transport type UNIX. 09:26:35.059638 2758 BSSAP_LE_Emulation.ttcn:522 Port PROC has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE_PROC. 09:26:35.059799 mtc BSC_Tests.ttcn:4025 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE_PROC and VirtSMLC-BSSAP_LE(2758):PROC finished. 09:26:35.059955 mtc BSC_Tests.ttcn:4027 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):STATSD_PROC and VirtMSC-STATS(2753):STATSD_PROC. 09:26:35.060431 2753 StatsD_Checker.ttcnpp:135 Port STATSD_PROC is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-186ca2c2. 09:26:35.060643 2764 - Port STATSD_PROC has established the connection with VirtMSC-STATS(2753):STATSD_PROC using transport type UNIX. 09:26:35.060864 2753 StatsD_Checker.ttcnpp:135 Port STATSD_PROC has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):STATSD_PROC. 09:26:35.061037 mtc BSC_Tests.ttcn:4027 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):STATSD_PROC and VirtMSC-STATS(2753):STATSD_PROC finished. 09:26:35.061195 mtc BSC_Tests.ttcn:4028 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):MGCP_PROC and VirtMGW-MGCP-0(2761):MGCP_PROC. 09:26:35.061656 2761 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-1868a6d2. 09:26:35.061858 2764 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(2761):MGCP_PROC using transport type UNIX. 09:26:35.061934 2761 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):MGCP_PROC. 09:26:35.062090 mtc BSC_Tests.ttcn:4028 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):MGCP_PROC and VirtMGW-MGCP-0(2761):MGCP_PROC finished. 09:26:35.062249 mtc BSC_Tests.ttcn:4029 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):MGCP and VirtMGW-MGCP-0(2761):MGCP_CLIENT. 09:26:35.062609 2761 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):MGCP on UNIX pathname /tmp/ttcn3-portconn-272a99b. 09:26:35.062813 2764 - Port MGCP has established the connection with VirtMGW-MGCP-0(2761):MGCP_CLIENT using transport type UNIX. 09:26:35.063032 2761 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):MGCP. 09:26:35.063211 mtc BSC_Tests.ttcn:4029 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):MGCP and VirtMGW-MGCP-0(2761):MGCP_CLIENT finished. 09:26:35.063370 mtc BSC_Tests.ttcn:4030 Connecting ports TC_assignment_emerg_setup_deny_msc(2764):MGCP_MULTI and VirtMGW-MGCP-0(2761):MGCP_CLIENT_MULTI. 09:26:35.063801 2761 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_assignment_emerg_setup_deny_msc(2764):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-23aa9d3. 09:26:35.064008 2764 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(2761):MGCP_CLIENT_MULTI using transport type UNIX. 09:26:35.064228 2761 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_assignment_emerg_setup_deny_msc(2764):MGCP_MULTI. 09:26:35.064391 mtc BSC_Tests.ttcn:4030 Connect operation on TC_assignment_emerg_setup_deny_msc(2764):MGCP_MULTI and VirtMGW-MGCP-0(2761):MGCP_CLIENT_MULTI finished. 09:26:35.064545 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_TC_assignment_emerg_setup_deny), "TC_assignment_emerg_setup_deny_msc", { ra := 'AB'O, fn := 23, imsi := '001016036766808'H, imei := '00101766788618'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := true, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }) on component TC_assignment_emerg_setup_deny_msc(2764). 09:26:35.064917 mtc BSC_Tests.ttcn:4052 Function was started. 09:26:35.066546 2764 - Starting function f_handler_init(refers(BSC_Tests.f_TC_assignment_emerg_setup_deny), "TC_assignment_emerg_setup_deny_msc", { ra := 'AB'O, fn := 23, imsi := '001016036766808'H, imei := '00101766788618'H, link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 }, media_nr := 1, ass_codec_list := omit, expect_mr_conf_ie := omit, expect_mr_s0_s7 := omit, encr := omit, lcls := { gcr := omit, cfg := omit, csc := omit, exp_sts := omit, adjust_cx_exp := true }, sccp_addr_msc := omit, sccp_addr_bsc := omit, exp_ms_power_level := 7, exp_ms_power_params := false, aoip := true, use_osmux_cn := false, use_osmux_bts := false, host_aoip_tla := "1.2.3.4", mscpool := { bssap_idx := 0, rsl_idx := 0, l3_info := omit }, mgwpool_idx := 0, media_mgw_offer_ipv6 := true, last_used_eutran_plmn := omit, exp_fast_return := false, expect_channel_mode_modify := false, expect_tsc := 2, cell_id_source := { elementIdentifier := '05'O, lengthIndicator := 0, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_LAC_CI := { lac := '0001'O, ci := '0001'O } } }, expect_ho_fail := false, expect_ho_fail_lchan_est := false, inter_bsc_ho_in__ho_req_in_initial_sccp_cr := true, ignore_mgw_mdcx := false, fail_on_dlcx := true, ignore_ipa_media := false, asci_test := { vgcs_setup_ok := false, vgcs_assign_ok := false, vgcs_assign_fail := false, vgcs_talker_req := false, vgcs_talker_fail := false, vgcs_talker_est := false, vgcs_talker_rel := false, vgcs_uplink_reject := false, vgcs_uplink_seized := false, vgcs_uplink_release := false, delay_bts := false, delay_msc := false } }). 09:26:35.069964 2764 MGCP_Templates.ttcn:396 Random number generator was initialized with seed 3865.069960: srand48(-1476286928). 09:26:35.070050 2764 MGCP_Templates.ttcn:396 Function rnd() returned 0.015599. 09:26:35.070228 2764 MGCP_Templates.ttcn:396 Function rnd() returned 0.793527. 09:26:35.070420 2764 MSC_ConnectionHandler.ttcn:576 Mapping port TC_assignment_emerg_setup_deny_msc(2764):BSCVTY to system:BSCVTY. 09:26:35.072066 2764 MSC_ConnectionHandler.ttcn:576 Message enqueued on BSCVTY from system integer : 2 id 1 09:26:35.072383 2764 MSC_ConnectionHandler.ttcn:576 Port BSCVTY was mapped to system:BSCVTY. 09:26:35.072500 2764 MSC_ConnectionHandler.ttcn:576 Map operation of TC_assignment_emerg_setup_deny_msc(2764):BSCVTY to system:BSCVTY finished. 09:26:35.072968 2764 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 09:26:35.073186 2764 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 09:26:35.073246 2764 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 09:26:35.073653 2764 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 09:26:35.073876 2764 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.074021 2764 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:35.074048 2764 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:35.074068 2764 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:35.074086 2764 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:35.074136 2764 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:26:35.074286 2764 Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 09:26:35.074370 2764 Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 09:26:35.074412 2764 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 09:26:35.074629 2764 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 09:26:35.074817 2764 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.074896 2764 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.074920 2764 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 09:26:35.074943 2764 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 09:26:35.074990 2764 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.077176 2764 MSC_ConnectionHandler.ttcn:889 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { cMServiceRequest := { messageType := '000000'B, nsd := '00'B, cm_ServiceType := '0001'B, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, mobileStationClassmark2 := { lengthIndicator := 0, rf_PowerCapability := '000'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B, mobileStationClassmark2_oct4 := { fc := '1'B, vgcs := '0'B, vbs := '0'B, sm_Capability := '1'B, ss_ScreenIndicator := '01'B, ps_Capability := '1'B, spare2_1 := '0'B }, mobileStationClassmark2_oct5 := { a5_2 := '0'B, a5_3 := '1'B, cmsp := '0'B, solsa := '0'B, ucs2 := '0'B, lcsva_cap := '0'B, spare5_7 := '0'B, cm3 := '0'B } }, mobileIdentity := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001016036766808'H, fillerDigit := omit } } } }, priorityLevel := omit, additionalUpdateParameterTV := omit, deviceProperties := omit } } } } 09:26:35.078030 2764 MSC_ConnectionHandler.ttcn:889 enc_PDU_ML3_MS_NW(): Stream after encoding: '05240103505902080910100663678680'O 09:26:35.079234 2764 MSC_ConnectionHandler.ttcn:655 Called on RAN to VirtMSC-RAN(2755) @RAN_Emulation.RAN_register : { l3 := '05240103505902080910100663678680'O, hdlr := TC_assignment_emerg_setup_deny_msc(2764) } 09:26:35.079541 2755 RAN_Emulation.ttcnpp:1249 Call enqueued on PROC from TC_assignment_emerg_setup_deny_msc(2764) @RAN_Emulation.RAN_register : { l3 := '05240103505902080910100663678680'O, hdlr := TC_assignment_emerg_setup_deny_msc(2764) } id 1 09:26:35.079694 2755 RAN_Emulation.ttcnpp:951 Matching on port PROC failed: The first entity in the queue is not a call for signature @RAN_Emulation.RAN_last_n_sd. 09:26:35.079712 2755 RAN_Emulation.ttcnpp:957 Matching on port PROC failed: The first entity in the queue is not a call for signature @RAN_Emulation.RAN_continue_after_n_sd. 09:26:35.079813 2755 RAN_Emulation.ttcnpp:1274 Matching on port PROC succeeded: { l3 := '05240103505902080910100663678680'O with ? matched, hdlr := TC_assignment_emerg_setup_deny_msc(2764) with ? matched } 09:26:35.079895 2755 RAN_Emulation.ttcnpp:1274 Getcall operation on port PROC succeeded, call from TC_assignment_emerg_setup_deny_msc(2764): @RAN_Emulation.RAN_register : { l3 := '05240103505902080910100663678680'O, hdlr := TC_assignment_emerg_setup_deny_msc(2764) } id 1 09:26:35.079935 2755 RAN_Emulation.ttcnpp:1274 Operation with id 1 was extracted from the queue of PROC. 09:26:35.079959 2755 RAN_Emulation.ttcnpp:1452 f_create_expect(l3 := '05240103505902080910100663678680'O, n_connectPointCode := omit 09:26:35.080202 2755 RAN_Emulation.ttcnpp:1469 Created Expect[0] for '05240103505902080910100663678680'O to be handled at TC_assignment_emerg_setup_deny_msc(2764) 09:26:35.080330 2755 RAN_Emulation.ttcnpp:1276 Replied on PROC to TC_assignment_emerg_setup_deny_msc(2764) @RAN_Emulation.RAN_register : { } 09:26:35.080567 2764 MSC_ConnectionHandler.ttcn:655 Reply enqueued on RAN from VirtMSC-RAN(2755) @RAN_Emulation.RAN_register : { } id 1 09:26:35.080695 2764 MSC_ConnectionHandler.ttcn:656 Matching on port RAN succeeded: { } with { } matched 09:26:35.080792 2764 MSC_ConnectionHandler.ttcn:656 Getreply operation on port RAN succeeded, reply from VirtMSC-RAN(2755): @RAN_Emulation.RAN_register : { } id 1 09:26:35.080850 2764 MSC_ConnectionHandler.ttcn:656 Operation with id 1 was extracted from the queue of RAN. 09:26:35.081271 2764 RSL_Emulation.ttcn:159 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Emulation.RSLDC_ChanRqd : { ra := 'AB'O, fn := 23 } 09:26:35.081546 2763 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_assignment_emerg_setup_deny_msc(2764) @RSL_Emulation.RSLDC_ChanRqd : { ra := 'AB'O, fn := 23 } id 1 09:26:35.081763 2763 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT succeeded: matched 09:26:35.081791 2763 RSL_Emulation.ttcn:628 Receive operation on port CLIENT_PT succeeded, message from TC_assignment_emerg_setup_deny_msc(2764): @RSL_Emulation.RSLDC_ChanRqd : { ra := 'AB'O, fn := 23 } id 1 09:26:35.081819 2763 RSL_Emulation.ttcn:628 Message with id 1 was extracted from the queue of CLIENT_PT. 09:26:35.081940 2764 RSL_Emulation.ttcn:120 Start timer T: 10 s 09:26:35.082239 2763 RSL_Emulation.ttcn:631 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := 'AB'O, frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 09:26:35.082485 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := 'AB'O, frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 09:26:35.082568 2762 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:26:35.082588 2762 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := 'AB'O, frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 09:26:35.082603 2762 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 09:26:35.082613 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := 'AB'O, frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 09:26:35.082694 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C13018813AB02F71100'O 09:26:35.082718 2762 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C13018813AB02F71100'O } 09:26:35.082733 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C13018813AB02F71100'O } 09:26:35.082750 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C13018813AB02F71100'O 09:26:35.082763 2762 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C13018813AB02F71100'O } 09:26:35.083223 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101150300060403030900050664154367720004000D0718001F00'O } id 16 09:26:35.083270 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101150300060403030900050664154367720004000D0718001F00'O 09:26:35.083293 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101150300060403030900050664154367720004000D0718001F00'O } 09:26:35.083324 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101150300060403030900050664154367720004000D0718001F00'O } id 16 09:26:35.083345 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:35.083358 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:35.083370 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101150300060403030900050664154367720004000D0718001F00'O } id 16 09:26:35.083382 2762 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 09:26:35.083393 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101150300060403030900050664154367720004000D0718001F00'O 09:26:35.083826 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 09:26:35.085071 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 09:26:35.085921 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 09:26:35.086026 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.086047 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.086060 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.086146 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:35.086215 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:35.086244 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:35.086266 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:26:35.086295 2763 RSL_Emulation.ttcn:594 Matching on port IPA_PT succeeded: matched 09:26:35.086370 2763 RSL_Emulation.ttcn:594 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 09:26:35.086394 2763 RSL_Emulation.ttcn:594 Message with id 16 was extracted from the queue of IPA_PT. 09:26:35.086686 2763 RSL_Emulation.ttcn:600 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } 09:26:35.086965 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 09:26:35.087106 2762 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:26:35.087137 2762 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 09:26:35.087161 2762 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 09:26:35.087177 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } 09:26:35.087302 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082201150802F7'O 09:26:35.087338 2762 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082201150802F7'O } 09:26:35.087360 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082201150802F7'O } 09:26:35.087387 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082201150802F7'O 09:26:35.087407 2762 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082201150802F7'O } 09:26:35.087844 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 09:26:35.087931 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O 09:26:35.087968 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } 09:26:35.088005 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 09:26:35.088041 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:35.088065 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:35.088085 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 09:26:35.088106 2762 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 09:26:35.088123 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O 09:26:35.088227 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 09:26:35.088380 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 09:26:35.088604 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 09:26:35.088714 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.088736 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.088751 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.088853 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT succeeded: matched 09:26:35.088889 2763 RSL_Emulation.ttcn:526 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 09:26:35.088932 2763 RSL_Emulation.ttcn:526 Message with id 17 was extracted from the queue of IPA_PT. 09:26:35.089141 2763 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Stream before decoding: '2D063F03154367AB02F700002B2B2B2B2B2B2B2B2B2B2B'O 09:26:35.090490 2763 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10101011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } 09:26:35.091366 2763 RSL_Emulation.ttcn:547 Sent on CLIENT_PT to TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } 09:26:35.092498 2764 RSL_Emulation.ttcn:121 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 09:26:35.093271 2764 RSL_Emulation.ttcn:122 Matching on port RSL succeeded: matched 09:26:35.093356 2764 RSL_Emulation.ttcn:122 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F03154367AB02F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 09:26:35.093400 2764 RSL_Emulation.ttcn:122 Message with id 1 was extracted from the queue of RSL. 09:26:35.093448 2764 RSL_Emulation.ttcn:123 Stop timer T: 10 s 09:26:35.093719 2764 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Stream before decoding: '2D063F03154367AB02F700000B2B2B2B2B2B2B2B2B2B2B'O 09:26:35.095052 2764 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '10101011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '1'B }, lh := omit, hl := omit, hh := omit } } } } 09:26:35.096482 2764 RSL_Emulation.ttcn:170 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } 09:26:35.096910 2764 RSL_Emulation.ttcn:809 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } 09:26:35.097000 2763 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } id 2 09:26:35.097190 2763 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 09:26:35.097253 2763 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 09:26:35.097283 2763 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_assignment_emerg_setup_deny_msc(2764): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } id 2 09:26:35.097304 2763 RSL_Emulation.ttcn:640 Message with id 2 was extracted from the queue of CLIENT_PT. 09:26:35.097599 2763 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } } 09:26:35.097819 2763 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_assignment_emerg_setup_deny_msc(2764) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } id 1 09:26:35.097882 2763 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 09:26:35.097896 2763 RSL_Emulation.ttcn:675 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_unregister. 09:26:35.097908 2763 RSL_Emulation.ttcn:681 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 09:26:35.097919 2763 RSL_Emulation.ttcn:687 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 09:26:35.097920 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } } id 4 09:26:35.097929 2763 RSL_Emulation.ttcn:693 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 09:26:35.097940 2763 RSL_Emulation.ttcn:708 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 09:26:35.097983 2763 RSL_Emulation.ttcn:713 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched } 09:26:35.098031 2762 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:26:35.098064 2762 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } } id 4 09:26:35.098074 2763 RSL_Emulation.ttcn:713 Getcall operation on port RSL_PROC succeeded, call from TC_assignment_emerg_setup_deny_msc(2764): @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } id 1 09:26:35.098086 2762 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 09:26:35.098098 2763 RSL_Emulation.ttcn:713 Operation with id 1 was extracted from the queue of RSL_PROC. 09:26:35.098102 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910100663678680'O } } } } } 09:26:35.098227 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206011502000B001005240103505902080910100663678680'O 09:26:35.098266 2762 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206011502000B001005240103505902080910100663678680'O } 09:26:35.098286 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206011502000B001005240103505902080910100663678680'O } 09:26:35.098338 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0019000206011502000B001005240103505902080910100663678680'O 09:26:35.098377 2762 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0019000206011502000B001005240103505902080910100663678680'O } 09:26:35.099077 2763 RSL_Emulation.ttcn:715 Replied on RSL_PROC to TC_assignment_emerg_setup_deny_msc(2764) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 09:26:35.100171 2764 RSL_Emulation.ttcn:809 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 09:26:35.100312 2764 RSL_Emulation.ttcn:810 Matching on port RSL_PROC succeeded: { chan_act := matched } 09:26:35.100458 2764 RSL_Emulation.ttcn:810 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(2763): @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_TCH_H (9), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 09:26:35.100499 2764 RSL_Emulation.ttcn:810 Operation with id 1 was extracted from the queue of RSL_PROC. 09:26:35.100826 2764 MSC_ConnectionHandler.ttcn:907 Start timer T: 2 s 09:26:35.101340 2754 SCCP_Emulation.ttcn:1400 Warning: Stopping inactive timer T_ias[0]. 09:26:35.101484 2755 RAN_Emulation.ttcnpp:1249 Message enqueued on BSSAP from VirtMSC-SCCP(2754) @SCCPasp_Types.ASP_SCCP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := '00285705080000F110000100001710052401035059020809101006636786807D09808283045781840407'O, connectionId := 10309753, importance := omit } id 3 09:26:35.101608 2755 BSSAP_CodecPort.ttcn:233 dec_PDU_BSSAP(): Stream before decoding: '00285705080000F110000100001710052401035059020809101006636786807D09808283045781840407'O 09:26:35.102817 2755 BSSAP_CodecPort.ttcn:233 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910100663678680'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } 09:26:35.103480 2755 RAN_Emulation.ttcnpp:1249 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910100663678680'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } }, connectionId := 10309753, importance := omit } id 3 09:26:35.103569 2755 RAN_Emulation.ttcnpp:856 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 09:26:35.103616 2755 RAN_Emulation.ttcnpp:866 Matching on port BSSAP succeeded: matched 09:26:35.103665 2755 RAN_Emulation.ttcnpp:866 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(2754): @BSSAP_CodecPort.BSSAP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910100663678680'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } }, connectionId := 10309753, importance := omit } id 3 09:26:35.103703 2755 RAN_Emulation.ttcnpp:866 Message with id 3 was extracted from the queue of BSSAP. 09:26:35.103877 2755 RAN_Emulation.ttcnpp:1356 ExpectedCreateCallback completeLayer3Information 09:26:35.103961 2755 RAN_Emulation.ttcnpp:1397 Found Expect[0] for '05240103505902080910100663678680'O handled at TC_assignment_emerg_setup_deny_msc(2764) 09:26:35.104092 2755 RAN_Emulation.ttcnpp:406 Added conn table entry 0TC_assignment_emerg_setup_deny_msc(2764)10309753 09:26:35.104217 2755 RAN_Emulation.ttcnpp:485 Sent on CLIENT to TC_assignment_emerg_setup_deny_msc(2764) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910100663678680'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } 09:26:35.105329 2755 RAN_Emulation.ttcnpp:873 Sent on BSSAP to VirtMSC-SCCP(2754) @BSSAP_CodecPort.BSSAP_N_CONNECT_res : { respondingAddress := omit, expeditedDataSel := omit, qualityOfService := omit, userData := omit, connectionId := 10309753, importance := omit } 09:26:35.105404 2755 RAN_Emulation.ttcnpp:873 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_CONNECT_res : { respondingAddress := omit, expeditedDataSel := omit, qualityOfService := omit, userData := omit, connectionId := 10309753, importance := omit } 09:26:35.106237 2754 SCCP_Emulation.ttcn:1298 Warning: Re-starting timer T_ias[0], which is already active (running or expired). 09:26:35.107348 2764 MSC_ConnectionHandler.ttcn:908 Message enqueued on BSSAP from VirtMSC-RAN(2755) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910100663678680'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } id 1 09:26:35.108255 2764 MSC_ConnectionHandler.ttcn:909 Matching on port BSSAP succeeded: matched 09:26:35.108346 2764 MSC_ConnectionHandler.ttcn:909 Receive operation on port BSSAP succeeded, message from VirtMSC-RAN(2755): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910100663678680'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } id 1 09:26:35.108470 2764 MSC_ConnectionHandler.ttcn:909 Message with id 1 was extracted from the queue of BSSAP. 09:26:35.110270 2764 BSC_Tests.ttcn:9409 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0011'B, tiOrSkip := { transactionId := { tio := '001'B, tiFlag := '0'B, tIExtension := omit } }, msgs := { cc := { emergencySetup := { messageType := '001110'B, nsd := '00'B, bearerCapability := { elementIdentifier := '04'O, lengthIndicator := 0, octet3 := { informationTransferCapability := '000'B, transferMode := '0'B, codingStandard := '0'B, radioChannelRequirement := '11'B, extension_octet_3 := '0'B, speech_aux_3a_3b := { { speechVersionIndication := '0101'B, spare1_1 := '0'B, cTM_or_Spare := '0'B, coding := '0'B, extension_octet_3a_3b := '0'B }, { speechVersionIndication := '0100'B, spare1_1 := '0'B, cTM_or_Spare := '0'B, coding := '0'B, extension_octet_3a_3b := '0'B }, { speechVersionIndication := '0010'B, spare1_1 := '0'B, cTM_or_Spare := '0'B, coding := '0'B, extension_octet_3a_3b := '0'B }, { speechVersionIndication := '0000'B, spare1_1 := '0'B, cTM_or_Spare := '0'B, coding := '0'B, extension_octet_3a_3b := '0'B }, { speechVersionIndication := '0001'B, spare1_1 := '0'B, cTM_or_Spare := '0'B, coding := '0'B, extension_octet_3a_3b := '0'B } } }, octet4 := omit, octet5 := omit, octet6 := omit, octet7 := omit }, streamIdentifier := omit, supportedCodecs := omit, emergencyCategory := omit } } } } 09:26:35.110845 2764 BSC_Tests.ttcn:9409 enc_PDU_ML3_MS_NW(): Stream after encoding: '130E0406600504020081'O 09:26:35.111003 2764 BSC_Tests.ttcn:9412 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } 09:26:35.111064 2764 BSC_Tests.ttcn:9509 Start timer T: 3 s 09:26:35.111131 2763 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } id 3 09:26:35.111242 2763 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 09:26:35.111261 2763 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 09:26:35.111280 2763 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_assignment_emerg_setup_deny_msc(2764): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } id 3 09:26:35.111304 2763 RSL_Emulation.ttcn:640 Message with id 3 was extracted from the queue of CLIENT_PT. 09:26:35.111354 2763 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } } 09:26:35.111490 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } } id 5 09:26:35.111563 2762 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:26:35.111583 2762 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } } id 5 09:26:35.111597 2762 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 09:26:35.111607 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_IND (2), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '130E0406600504020081'O } } } } } 09:26:35.111649 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0302011502000B000A130E0406600504020081'O 09:26:35.111667 2762 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0302011502000B000A130E0406600504020081'O } 09:26:35.111680 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0302011502000B000A130E0406600504020081'O } 09:26:35.111697 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0013000302011502000B000A130E0406600504020081'O 09:26:35.111711 2762 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0013000302011502000B000A130E0406600504020081'O } 09:26:35.143592 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301011502000B0003060D6F'O } id 18 09:26:35.143745 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301011502000B0003060D6F'O 09:26:35.143805 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301011502000B0003060D6F'O } 09:26:35.143849 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301011502000B0003060D6F'O } id 18 09:26:35.143916 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250115'O } id 19 09:26:35.143944 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250115'O 09:26:35.143970 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250115'O } 09:26:35.143999 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250115'O } id 19 09:26:35.144048 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:35.144086 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:35.144114 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301011502000B0003060D6F'O } id 18 09:26:35.144145 2762 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 09:26:35.144172 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301011502000B0003060D6F'O 09:26:35.144364 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } 09:26:35.144726 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } } 09:26:35.144865 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:35.144898 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:35.144923 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250115'O } id 19 09:26:35.144948 2762 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 09:26:35.144970 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250115'O 09:26:35.145002 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } 09:26:35.145075 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } 09:26:35.145092 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } } id 18 09:26:35.145138 2755 RAN_Emulation.ttcnpp:1249 Message enqueued on BSSAP from VirtMSC-SCCP(2754) @SCCPasp_Types.ASP_SCCP_N_DATA_ind : { userData := '000422040120'O, connectionId := 10309753, importance := omit } id 4 09:26:35.145195 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0115'O } id 20 09:26:35.145226 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0115'O 09:26:35.145255 2762 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0115'O } 09:26:35.145285 2762 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0115'O } id 20 09:26:35.145297 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.145312 2755 BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Stream before decoding: '000422040120'O 09:26:35.145316 2762 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:26:35.145331 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.145341 2762 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:26:35.145355 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.145366 2762 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0115'O } id 20 09:26:35.145390 2762 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 09:26:35.145411 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0115'O 09:26:35.145439 2762 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } 09:26:35.145499 2762 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } 09:26:35.145580 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:35.145703 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:35.145772 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:35.145798 2755 BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit } } } } } 09:26:35.145805 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:26:35.145837 2763 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 09:26:35.145876 2763 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 09:26:35.145915 2763 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } } id 18 09:26:35.145954 2763 RSL_Emulation.ttcn:606 Message with id 18 was extracted from the queue of IPA_PT. 09:26:35.146027 2755 RAN_Emulation.ttcnpp:1249 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit } } } } }, connectionId := 10309753, importance := omit } id 4 09:26:35.146075 2763 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } 09:26:35.146126 2755 RAN_Emulation.ttcnpp:856 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 09:26:35.146174 2755 RAN_Emulation.ttcnpp:866 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_CONNECT_ind. 09:26:35.146244 2755 RAN_Emulation.ttcnpp:876 Matching on port BSSAP succeeded: matched 09:26:35.146252 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } id 19 09:26:35.146326 2763 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } id 20 09:26:35.146346 2755 RAN_Emulation.ttcnpp:876 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(2754): @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit } } } } }, connectionId := 10309753, importance := omit } id 4 09:26:35.146361 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.146383 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.146387 2764 BSC_Tests.ttcn:9510 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } id 2 09:26:35.146403 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.146457 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:35.146526 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:35.146530 2755 RAN_Emulation.ttcnpp:876 Message with id 4 was extracted from the queue of BSSAP. 09:26:35.146578 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:35.146610 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:26:35.146641 2763 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 09:26:35.146675 2763 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 09:26:35.146706 2763 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } id 19 09:26:35.146733 2763 RSL_Emulation.ttcn:606 Message with id 19 was extracted from the queue of IPA_PT. 09:26:35.146766 2763 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } 09:26:35.146777 2755 RAN_Emulation.ttcnpp:485 Sent on CLIENT to TC_assignment_emerg_setup_deny_msc(2764) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit } } } } } 09:26:35.146801 2763 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.146823 2763 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.146842 2763 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:26:35.146889 2763 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:26:35.146951 2763 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:26:35.146990 2763 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:26:35.147019 2763 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:26:35.147048 2763 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_RF_CHAN_REL (46) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 09:26:35.147086 2763 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 09:26:35.147114 2763 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(2762): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } id 20 09:26:35.147140 2763 RSL_Emulation.ttcn:606 Message with id 20 was extracted from the queue of IPA_PT. 09:26:35.147169 2763 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } 09:26:35.150120 2764 BSC_Tests.ttcn:9511 Matching on port RSL succeeded: matched 09:26:35.150212 2764 BSC_Tests.ttcn:9511 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } id 2 09:26:35.150261 2764 BSC_Tests.ttcn:9511 Message with id 2 was extracted from the queue of RSL. 09:26:35.150384 2764 BSC_Tests.ttcn:9512 setverdict(pass): none -> pass 09:26:35.150537 2764 BSC_Tests.ttcn:9521 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } id 3 09:26:35.150584 2764 BSC_Tests.ttcn:9521 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } id 4 09:26:35.150901 2764 BSC_Tests.ttcn:9521 Message enqueued on BSSAP from VirtMSC-RAN(2755) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit } } } } } id 2 09:26:35.151109 2764 BSC_Tests.ttcn:9521 Matching on port BSSAP succeeded: matched 09:26:35.151150 2764 BSC_Tests.ttcn:9521 Receive operation on port BSSAP succeeded, message from VirtMSC-RAN(2755): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit } } } } } id 2 09:26:35.151203 2764 BSC_Tests.ttcn:9521 Message with id 2 was extracted from the queue of BSSAP. 09:26:35.151284 2764 BSC_Tests.ttcn:8567 Altstep as_mgcp_ack_all_dlcx was activated as default, id 1 09:26:35.151360 2764 BSC_Tests.ttcn:8568 Altstep as_rsl_ack_all_rel_req was activated as default, id 2 09:26:35.151422 2764 Osmocom_VTY_Functions.ttcn:333 "MSC instructs BSC to clear channel" 09:26:35.151558 2764 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): MSC instructs BSC to clear channel" 09:26:35.151662 2764 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.152529 2764 BSC_Tests.ttcn:8527 Matching on port RSL RSL_MDISC_DCHAN (4) with RSL_MDISC_RLL (1) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_REL_REQ (7) unmatched.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } with { { iei := ?, body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := ?, body := { link_id := ? } }, { iei := ?, body := { release_mode := ? } } } unmatched: First message in the queue does not match the template: 09:26:35.153206 2764 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 3 09:26:35.153341 2764 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.153431 2764 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.153462 2764 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 09:26:35.153494 2764 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of BSCVTY. 09:26:35.153525 2764 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.154471 2764 BSC_Tests.ttcn:8570 Sent on BSSAP to VirtMSC-RAN(2755) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } 09:26:35.154959 2764 BSC_Tests.ttcn:8572 Matching on port RSL succeeded: matched 09:26:35.155005 2764 BSC_Tests.ttcn:8572 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } id 3 09:26:35.155039 2764 BSC_Tests.ttcn:8572 Message with id 3 was extracted from the queue of RSL. 09:26:35.155067 2764 Osmocom_VTY_Functions.ttcn:333 "Got RSL Deact SACCH" 09:26:35.155115 2764 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL Deact SACCH" 09:26:35.155200 2764 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.155289 2764 BSC_Tests.ttcn:8527 Matching on port RSL RSL_MDISC_DCHAN (4) with RSL_MDISC_RLL (1) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_REL_REQ (7) unmatched.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } with { { iei := ?, body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } }, { iei := ?, body := { link_id := ? } }, { iei := ?, body := { release_mode := ? } } } unmatched: First message in the queue does not match the template: 09:26:35.155504 2755 RAN_Emulation.ttcnpp:1249 Message enqueued on CLIENT from TC_assignment_emerg_setup_deny_msc(2764) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } id 1 09:26:35.155672 2764 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 4 09:26:35.155811 2755 RAN_Emulation.ttcnpp:904 Matching on port CLIENT succeeded: matched 09:26:35.155902 2764 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.155915 2755 RAN_Emulation.ttcnpp:904 Receive operation on port CLIENT succeeded, message from TC_assignment_emerg_setup_deny_msc(2764): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } id 1 09:26:35.156003 2764 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.156040 2764 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 09:26:35.156072 2755 RAN_Emulation.ttcnpp:904 Message with id 1 was extracted from the queue of CLIENT. 09:26:35.156074 2764 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of BSCVTY. 09:26:35.156108 2764 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.156261 2764 BSC_Tests.ttcn:8580 Matching on port RSL succeeded: matched 09:26:35.156309 2764 BSC_Tests.ttcn:8580 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } id 4 09:26:35.156345 2764 BSC_Tests.ttcn:8580 Message with id 4 was extracted from the queue of RSL. 09:26:35.156371 2764 Osmocom_VTY_Functions.ttcn:333 "Got RSL RF Chan Rel, sending Rel Ack" 09:26:35.156440 2764 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RF Chan Rel, sending Rel Ack" 09:26:35.156539 2764 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.156631 2755 RAN_Emulation.ttcnpp:907 Sent on BSSAP to VirtMSC-SCCP(2754) @BSSAP_CodecPort.BSSAP_N_DATA_req : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } }, connectionId := 10309753, importance := omit } 09:26:35.156700 2755 BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } 09:26:35.156789 2755 BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Stream after encoding: '000420040100'O 09:26:35.156841 2755 RAN_Emulation.ttcnpp:907 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DATA_req : { userData := '000420040100'O, connectionId := 10309753, importance := omit } 09:26:35.157026 2764 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 5 09:26:35.157234 2764 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.157332 2764 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.157366 2764 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 5 09:26:35.157400 2764 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of BSCVTY. 09:26:35.157433 2764 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.157856 2764 BSC_Tests.ttcn:8582 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } 09:26:35.158083 2763 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_assignment_emerg_setup_deny_msc(2764) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } id 4 09:26:35.158138 2764 RSL_Emulation.ttcn:766 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, hdlr := TC_assignment_emerg_setup_deny_msc(2764) } 09:26:35.158355 2763 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 09:26:35.158400 2763 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 09:26:35.158435 2763 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_assignment_emerg_setup_deny_msc(2764): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } id 4 09:26:35.158464 2763 RSL_Emulation.ttcn:640 Message with id 4 was extracted from the queue of CLIENT_PT. 09:26:35.158538 2763 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(2762) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } 09:26:35.158666 2762 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(2763) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } id 6 09:26:35.158764 2763 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_assignment_emerg_setup_deny_msc(2764) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, hdlr := TC_assignment_emerg_setup_deny_msc(2764) } id 2 09:26:35.158831 2762 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:26:35.158881 2763 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 09:26:35.158890 2762 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(2763): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } } id 6 09:26:35.158938 2762 IPA_Emulation.ttcnpp:913 Message with id 6 was extracted from the queue of IPA_RSL_PORT. 09:26:35.158950 2763 RSL_Emulation.ttcn:675 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched, hdlr := TC_assignment_emerg_setup_deny_msc(2764) with ? matched } 09:26:35.158976 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 } } } } } 09:26:35.159015 2763 RSL_Emulation.ttcn:675 Getcall operation on port RSL_PROC succeeded, call from TC_assignment_emerg_setup_deny_msc(2764): @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { lm := { tag := '0001'B, sub_chan := 0 } }, tn := 5 }, hdlr := TC_assignment_emerg_setup_deny_msc(2764) } id 1 09:26:35.159043 2763 RSL_Emulation.ttcn:675 Operation with id 2 was extracted from the queue of RSL_PROC. 09:26:35.159059 2762 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330115'O 09:26:35.159100 2762 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330115'O } 09:26:35.159151 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330115'O } 09:26:35.159187 2762 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330115'O 09:26:35.159198 2763 RSL_Emulation.ttcn:678 Replied on RSL_PROC to TC_assignment_emerg_setup_deny_msc(2764) @RSL_Emulation.RSLEM_unregister : { } 09:26:35.159215 2762 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330115'O } 09:26:35.159374 2764 RSL_Emulation.ttcn:766 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(2763) @RSL_Emulation.RSLEM_unregister : { } id 2 09:26:35.159502 2764 RSL_Emulation.ttcn:767 Matching on port RSL_PROC succeeded: { } with { } matched 09:26:35.159536 2764 RSL_Emulation.ttcn:767 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(2763): @RSL_Emulation.RSLEM_unregister : { } id 1 09:26:35.159564 2764 RSL_Emulation.ttcn:767 Operation with id 2 was extracted from the queue of RSL_PROC. 09:26:35.159846 2755 RAN_Emulation.ttcnpp:1249 Message enqueued on BSSAP from VirtMSC-SCCP(2754) @SCCPasp_Types.ASP_SCCP_N_DATA_ind : { userData := '000121'O, connectionId := 10309753, importance := omit } id 5 09:26:35.159955 2755 BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Stream before decoding: '000121'O 09:26:35.160167 2755 BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } 09:26:35.160261 2755 RAN_Emulation.ttcnpp:1249 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } }, connectionId := 10309753, importance := omit } id 5 09:26:35.160306 2755 RAN_Emulation.ttcnpp:856 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 09:26:35.160328 2755 RAN_Emulation.ttcnpp:866 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_CONNECT_ind. 09:26:35.160352 2755 RAN_Emulation.ttcnpp:876 Matching on port BSSAP succeeded: matched 09:26:35.160380 2755 RAN_Emulation.ttcnpp:876 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(2754): @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } }, connectionId := 10309753, importance := omit } id 5 09:26:35.160409 2755 RAN_Emulation.ttcnpp:876 Message with id 5 was extracted from the queue of BSSAP. 09:26:35.160443 2755 RAN_Emulation.ttcnpp:485 Sent on CLIENT to TC_assignment_emerg_setup_deny_msc(2764) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } 09:26:35.160696 2764 BSC_Tests.ttcn:8571 Message enqueued on BSSAP from VirtMSC-RAN(2755) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } id 3 09:26:35.160859 2764 BSC_Tests.ttcn:8575 Matching on port BSSAP succeeded: matched 09:26:35.160895 2764 BSC_Tests.ttcn:8575 Receive operation on port BSSAP succeeded, message from VirtMSC-RAN(2755): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } id 3 09:26:35.160925 2764 BSC_Tests.ttcn:8575 Message with id 3 was extracted from the queue of BSSAP. 09:26:35.160967 2764 Osmocom_VTY_Functions.ttcn:333 "Got BSSMAP Clear Complete" 09:26:35.161029 2764 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got BSSMAP Clear Complete" 09:26:35.161135 2764 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.161579 2764 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 6 09:26:35.161768 2764 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.161854 2764 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.161886 2764 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 6 09:26:35.161917 2764 Osmocom_VTY_Functions.ttcn:73 Message with id 6 was extracted from the queue of BSCVTY. 09:26:35.161946 2764 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.162113 2764 BSC_Tests.ttcn:8578 Sent on BSSAP to VirtMSC-RAN(2755) @RAN_Emulation.RAN_Conn_Prim : MSC_CONN_PRIM_DISC_REQ (1) 09:26:35.162265 2764 BSC_Tests.ttcn:8586 Default with id 1 (altstep as_mgcp_ack_all_dlcx) was deactivated. 09:26:35.162323 2764 BSC_Tests.ttcn:8587 Default with id 2 (altstep as_rsl_ack_all_rel_req) was deactivated. 09:26:35.162380 2764 - Function f_handler_init finished. PTC terminates. 09:26:35.162407 2764 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 09:26:35.162426 2764 - Removing unterminated connection between port BSSAP_LE and VirtSMLC-BSSAP_LE(2758):CLIENT. 09:26:35.162492 2764 - Port BSSAP_LE was stopped. 09:26:35.162511 2755 RAN_Emulation.ttcnpp:1249 Message enqueued on CLIENT from TC_assignment_emerg_setup_deny_msc(2764) @RAN_Emulation.RAN_Conn_Prim : MSC_CONN_PRIM_DISC_REQ (1) id 2 09:26:35.162513 2764 - Removing unterminated connection between port BSSAP_LE_PROC and VirtSMLC-BSSAP_LE(2758):PROC. 09:26:35.162531 2758 BSSAP_LE_Emulation.ttcn:522 Connection of port CLIENT to TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE was closed unexpectedly by the peer. 09:26:35.162558 2764 - Port BSSAP_LE_PROC was stopped. 09:26:35.162577 2764 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(2761):MGCP_CLIENT. 09:26:35.162613 2764 - Port MGCP was stopped. 09:26:35.162630 2764 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(2761):MGCP_CLIENT_MULTI. 09:26:35.162636 2758 BSSAP_LE_Emulation.ttcn:522 Port CLIENT was disconnected from TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE. 09:26:35.162657 2764 - Port MGCP_MULTI was stopped. 09:26:35.162659 2755 RAN_Emulation.ttcnpp:904 Matching on port CLIENT failed: Type of the first message in the queue is not @BSSAP_Types.PDU_BSSAP. 09:26:35.162673 2764 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(2761):MGCP_PROC. 09:26:35.162701 2764 - Port MGCP_PROC was stopped. 09:26:35.162709 2755 RAN_Emulation.ttcnpp:910 Matching on port CLIENT failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_req. 09:26:35.162717 2764 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(2755):CLIENT. 09:26:35.162736 2761 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_assignment_emerg_setup_deny_msc(2764):MGCP was closed unexpectedly by the peer. 09:26:35.162745 2764 - Port BSSAP was stopped. 09:26:35.162759 2764 - Port BSSAP_PROC was stopped. 09:26:35.162773 2764 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(2763):CLIENT_PT. 09:26:35.162807 2764 - Port RSL was stopped. 09:26:35.162814 2758 BSSAP_LE_Emulation.ttcn:522 Connection of port PROC to TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE_PROC was closed unexpectedly by the peer. 09:26:35.162822 2764 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(2763):RSL_PROC. 09:26:35.162832 2763 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_assignment_emerg_setup_deny_msc(2764):RSL was closed unexpectedly by the peer. 09:26:35.162835 2758 BSSAP_LE_Emulation.ttcn:522 Port PROC was disconnected from TC_assignment_emerg_setup_deny_msc(2764):BSSAP_LE_PROC. 09:26:35.162838 2761 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_assignment_emerg_setup_deny_msc(2764):MGCP. 09:26:35.162841 2755 RAN_Emulation.ttcnpp:915 Matching on port CLIENT succeeded: MSC_CONN_PRIM_DISC_REQ (1) with MSC_CONN_PRIM_DISC_REQ (1) matched 09:26:35.162855 2764 - Port RSL_PROC was stopped. 09:26:35.162871 2764 - Port RSL1 was stopped. 09:26:35.162872 2755 RAN_Emulation.ttcnpp:915 Receive operation on port CLIENT succeeded, message from TC_assignment_emerg_setup_deny_msc(2764): @RAN_Emulation.RAN_Conn_Prim : MSC_CONN_PRIM_DISC_REQ (1) id 2 09:26:35.162884 2763 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_assignment_emerg_setup_deny_msc(2764):RSL. 09:26:35.162885 2764 - Port RSL1_PROC was stopped. 09:26:35.162898 2755 RAN_Emulation.ttcnpp:915 Message with id 2 was extracted from the queue of CLIENT. 09:26:35.162902 2764 - Port RSL2 was stopped. 09:26:35.162918 2764 - Port RSL2_PROC was stopped. 09:26:35.162924 2761 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_assignment_emerg_setup_deny_msc(2764):MGCP_MULTI was closed unexpectedly by the peer. 09:26:35.162933 2764 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(2753):STATSD_PROC. 09:26:35.162947 2761 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_assignment_emerg_setup_deny_msc(2764):MGCP_MULTI. 09:26:35.162974 2764 - Port STATSD_PROC was stopped. 09:26:35.162990 2764 - Removing unterminated connection between port RAN and VirtMSC-RAN(2755):PROC. 09:26:35.163002 2753 StatsD_Checker.ttcnpp:135 Connection of port STATSD_PROC to TC_assignment_emerg_setup_deny_msc(2764):STATSD_PROC was closed unexpectedly by the peer. 09:26:35.163017 2764 - Port RAN was stopped. 09:26:35.163027 2761 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_assignment_emerg_setup_deny_msc(2764):MGCP_PROC was closed unexpectedly by the peer. 09:26:35.163034 2764 - Removing unterminated mapping between port BSCVTY and system:BSCVTY. 09:26:35.163047 2761 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_assignment_emerg_setup_deny_msc(2764):MGCP_PROC. 09:26:35.163059 2755 RAN_Emulation.ttcnpp:917 Sent on BSSAP to VirtMSC-SCCP(2754) @BSSAP_CodecPort.BSSAP_N_DISCONNECT_req : { respondingAddress := omit, reason := 0, userData := omit, connectionId := 10309753, importance := omit } 09:26:35.163066 2753 StatsD_Checker.ttcnpp:135 Port STATSD_PROC was disconnected from TC_assignment_emerg_setup_deny_msc(2764):STATSD_PROC. 09:26:35.163123 2763 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_assignment_emerg_setup_deny_msc(2764):RSL_PROC was closed unexpectedly by the peer. 09:26:35.163153 2763 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_assignment_emerg_setup_deny_msc(2764):RSL_PROC. 09:26:35.163183 2764 - Port BSCVTY was unmapped from system:BSCVTY. 09:26:35.163199 2755 RAN_Emulation.ttcnpp:917 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DISCONNECT_req : { respondingAddress := omit, reason := 0, userData := omit, connectionId := 10309753, importance := omit } 09:26:35.163216 2764 - Port BSCVTY was stopped. 09:26:35.163233 2764 - Port COORD was stopped. 09:26:35.163247 2764 - Port COORD2 was stopped. 09:26:35.163269 2764 - Port MGCP_MSC_CLIENT was stopped. 09:26:35.163283 2764 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:35.163285 2755 RAN_Emulation.ttcnpp:418 Deleted conn table entry 0TC_assignment_emerg_setup_deny_msc(2764)10309753 09:26:35.163309 2764 - Final verdict of PTC: pass 09:26:35.163407 2755 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_assignment_emerg_setup_deny_msc(2764):BSSAP was closed unexpectedly by the peer. 09:26:35.163431 2755 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_assignment_emerg_setup_deny_msc(2764):BSSAP. 09:26:35.163479 mtc BSC_Tests.ttcn:9573 PTC with component reference 2764 is done. 09:26:35.163505 2755 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_assignment_emerg_setup_deny_msc(2764):RAN was closed unexpectedly by the peer. 09:26:35.163524 2755 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_assignment_emerg_setup_deny_msc(2764):RAN. 09:26:35.163660 2764 - Disconnected from MC. 09:26:35.163683 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 09:26:35.163707 2764 - TTCN-3 Parallel Test Component finished. 09:26:35.163849 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:35.164862 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" id 51 09:26:35.165007 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 52 09:26:35.165197 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.165347 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:35.165488 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 09:26:35.165601 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 09:26:35.165720 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" with ? matched 09:26:35.165804 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1380594 bytes in 1309 blocks)\n struct gsm_subscriber_connection contains 7152 bytes in 1 blocks (ref 0) 0x563a96e26320\n struct bsc_subscr contains 216 bytes in 3 blocks (ref 0) 0x563a96a3a980\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17ae0\n struct osmo_use_count_entry contains 40 bytes in 1 blocks (ref 0) 0x563a96e17a50" id 51 09:26:35.165851 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 51 was extracted from the queue of BSCVTY. 09:26:35.165930 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:35.166019 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:35.166063 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 52 09:26:35.166100 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 52 was extracted from the queue of BSCVTY. 09:26:35.166139 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:35.166191 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 1, expecting 0 09:26:35.166276 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 1, expecting 0 09:26:35.166389 mtc Osmocom_VTY_Functions.ttcn:271 count mismatch, retrying in 3.000000 09:26:35.166433 mtc Osmocom_Types.ttcn:118 Start timer T: 3 s 09:26:36.060527 2759 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 09:26:36.060711 2759 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 09:26:36.060750 2759 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 09:26:36.060773 2759 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 09:26:38.062041 2759 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 09:26:38.062221 2759 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 09:26:38.062262 2759 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 09:26:38.062284 2759 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 09:26:38.168694 mtc Osmocom_Types.ttcn:119 Timeout T: 3 s 09:26:38.168909 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 09:26:38.169060 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:26:38.170424 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" id 53 09:26:38.170542 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 54 09:26:38.170671 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:38.170759 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:26:38.170834 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 09:26:38.170879 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 09:26:38.170914 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" with ? matched 09:26:38.170948 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1371739 bytes in 1296 blocks)" id 53 09:26:38.171015 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 53 was extracted from the queue of BSCVTY. 09:26:38.171071 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:26:38.171137 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:26:38.171165 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 54 09:26:38.171192 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 54 was extracted from the queue of BSCVTY. 09:26:38.171221 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:26:38.171261 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 09:26:38.171328 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 09:26:38.171384 mtc BSC_Tests.ttcn:918 Stopping all components. 09:26:38.171524 2754 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 09:26:38.171578 2754 SCCP_Emulation.ttcn:5513 Terminating test component execution. 09:26:38.171626 2753 StatsD_Checker.ttcnpp:135 Kill was requested from MC. 09:26:38.171626 2758 BSSAP_LE_Emulation.ttcn:522 Stop was requested from MC. 09:26:38.171626 2760 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 09:26:38.171627 2755 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 09:26:38.171635 2756 M3UA_Emulation.ttcn:596 Kill was requested from MC. 09:26:38.171662 2757 SCCP_Emulation.ttcn:5513 Stop was requested from MC. 09:26:38.171706 2759 M3UA_Emulation.ttcn:596 Stop was requested from MC. 09:26:38.171706 2761 MGCP_Emulation.ttcn:290 Stop was requested from MC. 09:26:38.171706 2763 RSL_Emulation.ttcn:496 Stop was requested from MC. 09:26:38.171774 2755 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 09:26:38.171778 2760 IPA_Emulation.ttcnpp:735 Terminating test component execution. 09:26:38.171780 2756 M3UA_Emulation.ttcn:596 Terminating test component execution. 09:26:38.171785 2757 SCCP_Emulation.ttcn:5513 Stopping test component execution. 09:26:38.171786 2758 BSSAP_LE_Emulation.ttcn:522 Stopping test component execution. 09:26:38.171878 2759 M3UA_Emulation.ttcn:596 Stopping test component execution. 09:26:38.171878 2761 MGCP_Emulation.ttcn:290 Stopping test component execution. 09:26:38.171878 2763 RSL_Emulation.ttcn:496 Stopping test component execution. 09:26:38.171914 2753 StatsD_Checker.ttcnpp:135 Terminating test component execution. 09:26:38.172704 2756 - Function f_M3UA_Emulation was stopped. PTC terminates. 09:26:38.172729 2754 - Function SCCPStart was stopped. PTC terminates. 09:26:38.172767 2755 - Function main was stopped. PTC terminates. 09:26:38.172803 2756 - Terminating component type M3UA_Emulation.M3UA_CT. 09:26:38.172842 2754 - Terminating component type SCCP_Emulation.SCCP_CT. 09:26:38.172859 2755 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 09:26:38.172886 2755 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(2754):SCCP_SP_PORT. 09:26:38.172916 2758 - Function main was stopped. PTC remains alive and is waiting for next start. 09:26:38.172924 2760 - Function main_client was stopped. PTC terminates. 09:26:38.172933 2757 - Function SCCPStart was stopped. PTC remains alive and is waiting for next start. 09:26:38.172971 2755 - Port BSSAP was stopped. 09:26:38.172995 2755 - Port CLIENT was stopped. 09:26:38.173012 2755 - Port MGCP was stopped. 09:26:38.173018 2760 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 09:26:38.173029 2755 - Port CTRL was stopped. 09:26:38.173042 2754 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.173045 2760 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 09:26:38.173046 2755 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 09:26:38.173087 2754 - Final verdict of PTC: none 09:26:38.173097 2755 - Port CTRL_CLIENT was stopped. 09:26:38.173116 2755 - Port PROC was stopped. 09:26:38.173133 2755 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.173156 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(2755):CTRL_CLIENT was closed unexpectedly by the peer. 09:26:38.173163 2755 - Final verdict of PTC: none 09:26:38.173179 2756 - Component type M3UA_Emulation.M3UA_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.173215 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(2755):CTRL_CLIENT. 09:26:38.173220 2756 - Final verdict of PTC: none 09:26:38.173311 2762 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 09:26:38.173353 2762 IPA_Emulation.ttcnpp:735 Stopping test component execution. 09:26:38.173473 2759 - Function f_M3UA_Emulation was stopped. PTC remains alive and is waiting for next start. 09:26:38.173597 2763 - Function main was stopped. PTC remains alive and is waiting for next start. 09:26:38.173821 2760 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 09:26:38.173842 2753 - Function main was stopped. PTC terminates. 09:26:38.173869 2760 - Port IPA_PORT was stopped. 09:26:38.173895 2760 - Port CFG_PORT was stopped. 09:26:38.173903 2761 - Function main was stopped. PTC remains alive and is waiting for next start. 09:26:38.173913 2760 - Port MTP3_SP_PORT was stopped. 09:26:38.173930 2760 - Port IPA_MGCP_PORT was stopped. 09:26:38.173947 2760 - Port IPA_RSL_PORT was stopped. 09:26:38.173954 2755 - Disconnected from MC. 09:26:38.173963 2760 - Port IPA_OML_PORT was stopped. 09:26:38.173981 2760 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 09:26:38.174007 2755 - TTCN-3 Parallel Test Component finished. 09:26:38.174026 2753 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 09:26:38.174031 2760 - Port IPA_CTRL_PORT was stopped. 09:26:38.174049 2760 - Port IPA_SP_PORT was stopped. 09:26:38.174066 2760 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.174072 2759 - Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100020100000018000600080000000600120008000000B9'O } id 8 09:26:38.174095 2753 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 09:26:38.174100 2760 - Final verdict of PTC: none 09:26:38.174106 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(2760):IPA_CTRL_PORT was closed unexpectedly by the peer. 09:26:38.174248 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(2760):IPA_CTRL_PORT. 09:26:38.174272 2760 - Disconnected from MC. 09:26:38.174348 2760 - TTCN-3 Parallel Test Component finished. 09:26:38.174459 2753 - Port STATSVTY was unmapped from system:STATSVTY. 09:26:38.174496 2762 - Function main_client was stopped. PTC remains alive and is waiting for next start. 09:26:38.174564 2753 - Port STATSVTY was stopped. 09:26:38.174609 2753 - Port STATSD_PROC was stopped. 09:26:38.174646 2753 - Removing unterminated mapping between port STATS and system:STATS. 09:26:38.175697 2753 - Port STATS was unmapped from system:STATS. 09:26:38.175724 2753 - Port STATS was stopped. 09:26:38.175734 2753 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.175747 2753 - Final verdict of PTC: none 09:26:38.175788 2753 - Disconnected from MC. 09:26:38.175805 2753 - TTCN-3 Parallel Test Component finished. 09:26:38.175805 mtc BSC_Tests.ttcn:918 All components were stopped. 09:26:38.175841 mtc BSC_Tests.ttcn:919 setverdict(pass): none -> pass 09:26:38.175860 mtc BSC_Tests.ttcn:920 Stopping test component execution. 09:26:38.175890 mtc BSC_Tests.ttcn:9574 Test case TC_assignment_emerg_setup_deny_msc was stopped. 09:26:38.175901 mtc BSC_Tests.ttcn:9574 Terminating component type BSC_Tests.test_CT. 09:26:38.175911 mtc BSC_Tests.ttcn:9574 Default with id 1 (altstep as_Tguard) was deactivated. 09:26:38.175922 mtc BSC_Tests.ttcn:9574 Stop timer T_guard: 30 s 09:26:38.175934 mtc BSC_Tests.ttcn:9574 Port IPA_CTRL was stopped. 09:26:38.175945 mtc BSC_Tests.ttcn:9574 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(2763):CCHAN_PT. 09:26:38.175987 mtc BSC_Tests.ttcn:9574 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176002 mtc BSC_Tests.ttcn:9574 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176013 2763 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 09:26:38.176014 mtc BSC_Tests.ttcn:9574 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176026 mtc BSC_Tests.ttcn:9574 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176037 mtc BSC_Tests.ttcn:9574 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176040 2763 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 09:26:38.176048 mtc BSC_Tests.ttcn:9574 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176059 mtc BSC_Tests.ttcn:9574 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176070 mtc BSC_Tests.ttcn:9574 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176081 mtc BSC_Tests.ttcn:9574 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176091 mtc BSC_Tests.ttcn:9574 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176101 mtc BSC_Tests.ttcn:9574 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176111 mtc BSC_Tests.ttcn:9574 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176123 mtc BSC_Tests.ttcn:9574 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 09:26:38.176132 mtc BSC_Tests.ttcn:9574 Port RSL_CCHAN[0] was stopped. 09:26:38.176142 mtc BSC_Tests.ttcn:9574 Port RSL_CCHAN[1] was stopped. 09:26:38.176151 mtc BSC_Tests.ttcn:9574 Port RSL_CCHAN[2] was stopped. 09:26:38.176160 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[0][0] was stopped. 09:26:38.176170 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[0][1] was stopped. 09:26:38.176179 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[0][2] was stopped. 09:26:38.176188 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[0][3] was stopped. 09:26:38.176204 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[1][0] was stopped. 09:26:38.176214 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[1][1] was stopped. 09:26:38.176223 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[1][2] was stopped. 09:26:38.176232 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[1][3] was stopped. 09:26:38.176241 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[2][0] was stopped. 09:26:38.176250 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[2][1] was stopped. 09:26:38.176259 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[2][2] was stopped. 09:26:38.176268 mtc BSC_Tests.ttcn:9574 Port IPA_RSL[2][3] was stopped. 09:26:38.176277 mtc BSC_Tests.ttcn:9574 Port IPA was stopped. 09:26:38.176286 mtc BSC_Tests.ttcn:9574 Port SCCPLITE_IPA_CTRL was stopped. 09:26:38.176295 mtc BSC_Tests.ttcn:9574 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(2762):CFG_PORT. 09:26:38.176322 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[0][0] was stopped. 09:26:38.176333 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[0][1] was stopped. 09:26:38.176343 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[0][2] was stopped. 09:26:38.176352 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[0][3] was stopped. 09:26:38.176361 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[1][0] was stopped. 09:26:38.176370 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[1][1] was stopped. 09:26:38.176371 2762 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 09:26:38.176379 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[1][2] was stopped. 09:26:38.176388 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[1][3] was stopped. 09:26:38.176392 2762 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 09:26:38.176398 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[2][0] was stopped. 09:26:38.176407 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[2][1] was stopped. 09:26:38.176415 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[2][2] was stopped. 09:26:38.176424 mtc BSC_Tests.ttcn:9574 Port IPA_CFG_PORT[2][3] was stopped. 09:26:38.176433 mtc BSC_Tests.ttcn:9574 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 09:26:38.176473 mtc BSC_Tests.ttcn:9574 Port BSCVTY was unmapped from system:BSCVTY. 09:26:38.176490 mtc BSC_Tests.ttcn:9574 Port BSCVTY was stopped. 09:26:38.176501 mtc BSC_Tests.ttcn:9574 Port BSSAP was stopped. 09:26:38.176510 mtc BSC_Tests.ttcn:9574 Port BSSAP_LE was stopped. 09:26:38.176519 mtc BSC_Tests.ttcn:9574 Component type BSC_Tests.test_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.176531 mtc BSC_Tests.ttcn:9574 Waiting for PTCs to finish. 09:26:38.176574 2759 - Kill was requested from MC. Terminating idle PTC. 09:26:38.176574 2763 - Kill was requested from MC. Terminating idle PTC. 09:26:38.176586 2763 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 09:26:38.176599 2759 - Terminating component type M3UA_Emulation.M3UA_CT. 09:26:38.176609 2761 - Kill was requested from MC. Terminating idle PTC. 09:26:38.176611 2759 - Stop timer T_ASPUP_resend: 2 s 09:26:38.176616 2762 - Kill was requested from MC. Terminating idle PTC. 09:26:38.176620 2757 - Kill was requested from MC. Terminating idle PTC. 09:26:38.176623 2758 - Kill was requested from MC. Terminating idle PTC. 09:26:38.176624 2759 - Stop timer T_ASPAC_resend: 2 s 09:26:38.176635 2759 - Stop timer T_Assoc_restart: 60 s 09:26:38.176636 2762 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 09:26:38.176643 2762 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 09:26:38.176644 2757 - Terminating component type SCCP_Emulation.SCCP_CT. 09:26:38.176645 2759 - Removing unterminated connection between port MTP3_SP_PORT and VirtSMLC-SCCP(2757):MTP3_SCCP_PORT. 09:26:38.176647 2761 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 09:26:38.176651 2757 - Removing unterminated connection between port SCCP_SP_PORT and VirtSMLC-BSSAP_LE(2758):BSSAP_LE. 09:26:38.176651 2758 - Terminating component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT. 09:26:38.176661 2761 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 09:26:38.176664 2758 - Removing unterminated connection between port BSSAP_LE and VirtSMLC-SCCP(2757):SCCP_SP_PORT. 09:26:38.176670 2759 - Port MTP3_SP_PORT was stopped. 09:26:38.176676 2757 - Port SCCP_SP_PORT was stopped. 09:26:38.176681 2757 - Removing unterminated connection between port MTP3_SCCP_PORT and VirtSMLC-M3UA(2759):MTP3_SP_PORT. 09:26:38.176682 2759 - Removing unterminated mapping between port SCTP_PORT and system:sctp. 09:26:38.176694 2758 - Port BSSAP_LE was stopped. 09:26:38.176698 2757 - Port MTP3_SCCP_PORT was stopped. 09:26:38.176703 2757 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.176710 2758 - Port CLIENT was stopped. 09:26:38.176712 2757 - Final verdict of PTC: none 09:26:38.176724 2758 - Port PROC was stopped. 09:26:38.176735 2758 - Component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.176744 2763 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(2762):IPA_RSL_PORT. 09:26:38.176751 2758 - Final verdict of PTC: none 09:26:38.176764 2757 - Disconnected from MC. 09:26:38.176764 2763 - Port IPA_PT was stopped. 09:26:38.176769 2763 - Port CLIENT_PT was stopped. 09:26:38.176773 2763 - Port RSL_PROC was stopped. 09:26:38.176774 2757 - TTCN-3 Parallel Test Component finished. 09:26:38.176776 2763 - Port CCHAN_PT was stopped. 09:26:38.176779 2763 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.176786 2763 - Final verdict of PTC: none 09:26:38.176797 2758 - Disconnected from MC. 09:26:38.176816 2759 - Port SCTP_PORT was unmapped from system:sctp. 09:26:38.176818 2758 - TTCN-3 Parallel Test Component finished. 09:26:38.176821 2763 - Disconnected from MC. 09:26:38.176833 2762 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 09:26:38.176845 2763 - TTCN-3 Parallel Test Component finished. 09:26:38.176849 2759 - Message with id 8 was extracted from the queue of SCTP_PORT. 09:26:38.176849 2762 - Port IPA_PORT was stopped. 09:26:38.176853 2762 - Port CFG_PORT was stopped. 09:26:38.176858 2762 - Port MTP3_SP_PORT was stopped. 09:26:38.176862 2759 - Port SCTP_PORT was stopped. 09:26:38.176862 2762 - Port IPA_MGCP_PORT was stopped. 09:26:38.176865 2762 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(2763):IPA_PT. 09:26:38.176873 2759 - Component type M3UA_Emulation.M3UA_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.176883 2762 - Port IPA_RSL_PORT was stopped. 09:26:38.176889 2759 - Final verdict of PTC: none 09:26:38.176889 2762 - Port IPA_OML_PORT was stopped. 09:26:38.176893 2762 - Port IPA_CTRL_PORT was stopped. 09:26:38.176897 2762 - Port IPA_SP_PORT was stopped. 09:26:38.176903 2762 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.176910 2762 - Final verdict of PTC: none 09:26:38.176940 2759 - Disconnected from MC. 09:26:38.176944 2762 - Disconnected from MC. 09:26:38.176958 2759 - TTCN-3 Parallel Test Component finished. 09:26:38.176958 2762 - TTCN-3 Parallel Test Component finished. 09:26:38.177086 2761 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 09:26:38.177122 2761 - Port MGCP was stopped. 09:26:38.177138 2761 - Port MGCP_CLIENT was stopped. 09:26:38.177149 2761 - Port MGCP_CLIENT_MULTI was stopped. 09:26:38.177161 2761 - Port MGCP_PROC was stopped. 09:26:38.177172 2761 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_assignment_emerg_setup_deny_msc. 09:26:38.177190 2761 - Final verdict of PTC: none 09:26:38.177243 2761 - Disconnected from MC. 09:26:38.177256 mtc BSC_Tests.ttcn:9574 Setting final verdict of the test case. 09:26:38.177264 2761 - TTCN-3 Parallel Test Component finished. 09:26:38.177298 mtc BSC_Tests.ttcn:9574 Local verdict of MTC: pass 09:26:38.177316 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtMSC-STATS(2753): none (pass -> pass) 09:26:38.177333 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtMSC-SCCP(2754): none (pass -> pass) 09:26:38.177348 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtMSC-RAN(2755): none (pass -> pass) 09:26:38.177362 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtMSC-M3UA(2756): none (pass -> pass) 09:26:38.177376 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtSMLC-SCCP(2757): none (pass -> pass) 09:26:38.177393 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtSMLC-BSSAP_LE(2758): none (pass -> pass) 09:26:38.177411 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtSMLC-M3UA(2759): none (pass -> pass) 09:26:38.177426 mtc BSC_Tests.ttcn:9574 Local verdict of PTC IPA-CTRL-CLI-IPA(2760): none (pass -> pass) 09:26:38.177443 mtc BSC_Tests.ttcn:9574 Local verdict of PTC VirtMGW-MGCP-0(2761): none (pass -> pass) 09:26:38.177460 mtc BSC_Tests.ttcn:9574 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(2762): none (pass -> pass) 09:26:38.177506 mtc BSC_Tests.ttcn:9574 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(2763): none (pass -> pass) 09:26:38.177545 mtc BSC_Tests.ttcn:9574 Local verdict of PTC TC_assignment_emerg_setup_deny_msc(2764): pass (pass -> pass) 09:26:38.177567 mtc BSC_Tests.ttcn:9574 Test case TC_assignment_emerg_setup_deny_msc finished. Verdict: pass 09:26:38.177587 mtc BSC_Tests.ttcn:9574 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_assignment_emerg_setup_deny_msc pass'. 09:26:43.435142 mtc BSC_Tests.ttcn:9574 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_assignment_emerg_setup_deny_msc pass' was executed successfully (exit status: 0). 09:26:43.435204 mtc BSC_Tests.ttcn:9583 Switching to log file `BSC_Tests-TC_assignment_emerg_setup_deny_bts-1acabccebd05-mtc.log'