08:30:39.586861 mtc BSC_Tests.ttcn:2035 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_chan_rel_conn_fail'. 08:30:40.598044 mtc BSC_Tests.ttcn:2035 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_chan_rel_conn_fail' was executed successfully (exit status: 0). 08:30:40.598227 mtc BSC_Tests.ttcn:2035 Test case TC_chan_rel_conn_fail started. 08:30:40.598283 mtc BSC_Tests.ttcn:2035 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.598547 mtc BSC_Tests.ttcn:2035 Port IPA_CTRL was started. 08:30:40.598578 mtc BSC_Tests.ttcn:2035 Port RSL_CCHAN[0] was started. 08:30:40.598598 mtc BSC_Tests.ttcn:2035 Port RSL_CCHAN[1] was started. 08:30:40.598617 mtc BSC_Tests.ttcn:2035 Port RSL_CCHAN[2] was started. 08:30:40.598635 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[0][0] was started. 08:30:40.598655 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[0][1] was started. 08:30:40.598674 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[0][2] was started. 08:30:40.598692 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[0][3] was started. 08:30:40.598710 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[1][0] was started. 08:30:40.598728 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[1][1] was started. 08:30:40.598746 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[1][2] was started. 08:30:40.598764 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[1][3] was started. 08:30:40.598782 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[2][0] was started. 08:30:40.598800 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[2][1] was started. 08:30:40.598818 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[2][2] was started. 08:30:40.598836 mtc BSC_Tests.ttcn:2035 Port IPA_RSL[2][3] was started. 08:30:40.598855 mtc BSC_Tests.ttcn:2035 Port IPA was started. 08:30:40.598873 mtc BSC_Tests.ttcn:2035 Port SCCPLITE_IPA_CTRL was started. 08:30:40.598893 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[0][0] was started. 08:30:40.598911 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[0][1] was started. 08:30:40.598930 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[0][2] was started. 08:30:40.598950 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[0][3] was started. 08:30:40.598968 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[1][0] was started. 08:30:40.598994 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[1][1] was started. 08:30:40.599021 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[1][2] was started. 08:30:40.599046 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[1][3] was started. 08:30:40.599064 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[2][0] was started. 08:30:40.599083 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[2][1] was started. 08:30:40.599101 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[2][2] was started. 08:30:40.599119 mtc BSC_Tests.ttcn:2035 Port IPA_CFG_PORT[2][3] was started. 08:30:40.599138 mtc BSC_Tests.ttcn:2035 Port BSCVTY was started. 08:30:40.599156 mtc BSC_Tests.ttcn:2035 Port BSSAP was started. 08:30:40.599174 mtc BSC_Tests.ttcn:2035 Port BSSAP_LE was started. 08:30:40.599192 mtc BSC_Tests.ttcn:2035 Component type BSC_Tests.test_CT was initialized. 08:30:40.599229 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 08:30:40.599263 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 08:30:40.599296 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 08:30:40.600158 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 08:30:40.600287 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 08:30:40.600429 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 08:30:40.600485 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 08:30:40.600522 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 08:30:40.600548 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 08:30:40.600677 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 08:30:40.600826 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.600871 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 08:30:40.600923 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 08:30:40.600946 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 08:30:40.600967 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 08:30:40.600988 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 08:30:40.601015 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 08:30:40.601045 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 08:30:40.601076 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 08:30:40.601222 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 08:30:40.601330 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.601409 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.601438 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 08:30:40.601465 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 08:30:40.601494 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.601531 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 08:30:40.601588 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.602199 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 08:30:40.602435 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.602535 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.602635 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.602671 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 08:30:40.602707 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 08:30:40.602741 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.602782 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 08:30:40.602884 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.603364 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 08:30:40.603569 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.603659 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.603756 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.603793 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 08:30:40.603828 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 08:30:40.603862 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.603904 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 08:30:40.604004 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.604357 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 08:30:40.604395 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.604410 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.604427 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.604433 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 08:30:40.604438 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 08:30:40.604444 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.604451 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 08:30:40.604468 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.604670 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 08:30:40.604708 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.604724 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.604740 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.604746 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 08:30:40.604751 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 08:30:40.604757 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.604764 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 08:30:40.604781 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.604970 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 08:30:40.605009 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.605025 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.605031 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 08:30:40.605037 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 08:30:40.605043 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.605050 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 08:30:40.605067 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.605295 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 08:30:40.605439 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.605529 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.605623 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.605659 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 08:30:40.605694 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 08:30:40.605727 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.605789 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 08:30:40.605890 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.606064 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 08:30:40.606133 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.606192 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.606276 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.606342 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 08:30:40.606373 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 08:30:40.606404 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.606434 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 08:30:40.606493 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.606949 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 08:30:40.607153 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.607241 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.607335 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.607370 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 08:30:40.607404 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 08:30:40.607436 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.607471 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.607572 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.608044 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 08:30:40.608247 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.608335 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.608429 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.608464 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 08:30:40.608498 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 08:30:40.608532 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.608568 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.608666 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.609101 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 08:30:40.609306 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.609399 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.609431 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 08:30:40.609488 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 08:30:40.609521 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.609562 mtc StatsD_Checker.ttcnpp:373 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 08:30:40.616668 336 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.616710 336 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtMSC-STATS(336), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 08:30:40.616722 336 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:30:40.616748 336 - Connected to MC. 08:30:40.616754 336 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.616862 mtc StatsD_Checker.ttcnpp:373 PTC was created. Component reference: 336, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 08:30:40.616883 mtc StatsD_Checker.ttcnpp:374 Starting function main("172.18.149.203", 8125) on component VirtMSC-STATS(336). 08:30:40.616904 mtc StatsD_Checker.ttcnpp:374 Function was started. 08:30:40.616912 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 08:30:40.616929 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.617206 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 08:30:40.617243 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.617261 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.617270 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 08:30:40.617276 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 08:30:40.617281 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.617288 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 08:30:40.617305 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.617800 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 14 08:30:40.617926 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.618019 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.618053 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 14 08:30:40.618086 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 14 was extracted from the queue of BSCVTY. 08:30:40.618117 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.618162 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 08:30:40.618260 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.619651 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 08:30:40.619858 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.619951 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.619984 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 08:30:40.620016 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 08:30:40.620047 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.620108 336 - Port STATSVTY was started. 08:30:40.620164 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 08:30:40.620169 336 - Port STATSD_PROC was started. 08:30:40.620198 336 - Port STATS was started. 08:30:40.620217 336 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 08:30:40.620417 336 - Starting function main("172.18.149.203", 8125). 08:30:40.620979 336 StatsD_Checker.ttcnpp:117 Mapping port VirtMSC-STATS(336):STATS to system:STATS. 08:30:40.621353 336 StatsD_Checker.ttcnpp:117 Port STATS was mapped to system:STATS. 08:30:40.621734 336 StatsD_Checker.ttcnpp:117 Map operation of VirtMSC-STATS(336):STATS to system:STATS finished. 08:30:40.622337 336 StatsD_Checker.ttcnpp:118 entering f__IPL4__PROVIDER__listen: 172.18.149.203:8125 / UDP 08:30:40.625330 336 StatsD_Checker.ttcnpp:126 Mapping port VirtMSC-STATS(336):STATSVTY to system:STATSVTY. 08:30:40.626849 336 StatsD_Checker.ttcnpp:126 Message enqueued on STATSVTY from system integer : 2 id 1 08:30:40.627094 336 StatsD_Checker.ttcnpp:126 Port STATSVTY was mapped to system:STATSVTY. 08:30:40.627321 336 StatsD_Checker.ttcnpp:126 Map operation of VirtMSC-STATS(336):STATSVTY to system:STATSVTY finished. 08:30:40.627756 336 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 08:30:40.627933 336 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 08:30:40.627976 336 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 08:30:40.628286 336 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 08:30:40.628459 336 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.628562 336 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:30:40.628578 336 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:30:40.628589 336 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:30:40.628599 336 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:30:40.628632 336 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:30:40.628735 336 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 08:30:40.628773 336 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 08:30:40.628799 336 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 08:30:40.628957 336 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 08:30:40.629104 336 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.629161 336 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.629176 336 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 08:30:40.629204 336 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 08:30:40.629241 336 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.629281 336 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:30:40.629315 336 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.629395 337 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.629576 336 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 08:30:40.629581 337 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.629684 336 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.629719 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 337, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 08:30:40.629741 336 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.629759 336 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 08:30:40.629776 336 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 08:30:40.629788 mtc RAN_Adapter.ttcnpp:109 Creating new PTC with component type M3UA_Emulation.M3UA_CT, component name: VirtMSC-M3UA. 08:30:40.629794 336 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.632132 337 - Component type SCCP_Emulation.SCCP_CT was initialized. 08:30:40.634901 338 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.635000 338 - Initializing variables, timers and ports of component type M3UA_Emulation.M3UA_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.635091 mtc RAN_Adapter.ttcnpp:109 PTC was created. Component reference: 338, alive: no, type: M3UA_Emulation.M3UA_CT, component name: VirtMSC-M3UA. 08:30:40.635130 mtc RAN_Adapter.ttcnpp:110 Mapping port VirtMSC-M3UA(338):SCTP_PORT to system:sctp. 08:30:40.636507 338 - Component type M3UA_Emulation.M3UA_CT was initialized. 08:30:40.636624 mtc RAN_Adapter.ttcnpp:110 Map operation of VirtMSC-M3UA(338):SCTP_PORT to system:sctp finished. 08:30:40.636677 mtc RAN_Adapter.ttcnpp:112 Connecting ports VirtMSC-M3UA(338):MTP3_SP_PORT and VirtMSC-SCCP(337):MTP3_SCCP_PORT. 08:30:40.637164 mtc RAN_Adapter.ttcnpp:112 Connect operation on VirtMSC-M3UA(338):MTP3_SP_PORT and VirtMSC-SCCP(337):MTP3_SCCP_PORT finished. 08:30:40.637213 mtc RAN_Adapter.ttcnpp:113 Starting function f_M3UA_Emulation({ local_sctp_port := 23905, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 1) on component VirtMSC-M3UA(338). 08:30:40.637268 mtc RAN_Adapter.ttcnpp:113 Function was started. 08:30:40.637291 mtc BSC_Tests.ttcn:1219 Connecting ports mtc:BSSAP and VirtMSC-SCCP(337):SCCP_SP_PORT. 08:30:40.637325 338 - Starting function f_M3UA_Emulation({ local_sctp_port := 23905, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 1). 08:30:40.637386 mtc BSC_Tests.ttcn:1219 Port BSSAP is waiting for connection from VirtMSC-SCCP(337):SCCP_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-f358b81d. 08:30:40.637515 mtc BSC_Tests.ttcn:1219 Port BSSAP has accepted the connection from VirtMSC-SCCP(337):SCCP_SP_PORT. 08:30:40.637534 mtc BSC_Tests.ttcn:1219 Connect operation on mtc:BSSAP and VirtMSC-SCCP(337):SCCP_SP_PORT finished. 08:30:40.637546 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(337). 08:30:40.637586 mtc RAN_Adapter.ttcnpp:197 Function was started. 08:30:40.637691 mtc BSC_Tests.ttcn:927 Sent on BSSAP to VirtMSC-SCCP(337) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 08:30:40.637710 mtc BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 08:30:40.637747 mtc BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 08:30:40.637772 mtc BSC_Tests.ttcn:927 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 08:30:40.637805 mtc BSC_Tests.ttcn:929 Start timer T: 5 s 08:30:40.637865 337 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 08:30:40.846668 mtc BSC_Tests.ttcn:930 Message enqueued on BSSAP from VirtMSC-SCCP(337) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 08:30:40.846709 mtc BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 08:30:40.846844 mtc BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 08:30:40.846862 mtc BSC_Tests.ttcn:930 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 08:30:40.846898 mtc BSC_Tests.ttcn:931 Matching on port BSSAP succeeded: matched 08:30:40.846907 mtc BSC_Tests.ttcn:931 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(337): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 08:30:40.846915 mtc BSC_Tests.ttcn:931 Message with id 1 was extracted from the queue of BSSAP. 08:30:40.846922 mtc BSC_Tests.ttcn:933 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 08:30:40.846950 mtc BSSAP_LE_Adapter.ttcn:95 Creating new alive PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. 08:30:40.858750 339 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.858956 339 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtSMLC-SCCP(339), component type: SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. Version: 9.0.0. 08:30:40.859008 339 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:30:40.859121 339 - Connected to MC. 08:30:40.859165 339 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.859399 mtc BSSAP_LE_Adapter.ttcn:95 PTC was created. Component reference: 339, alive: yes, type: SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. 08:30:40.859565 mtc BSSAP_LE_Adapter.ttcn:101 Creating new alive PTC with component type M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. 08:30:40.863831 339 - Port SCCP_SP_PORT was started. 08:30:40.863844 339 - Port MTP3_SCCP_PORT was started. 08:30:40.863847 339 - Component type SCCP_Emulation.SCCP_CT was initialized. 08:30:40.866810 340 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.866897 340 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtSMLC-M3UA(340), component type: M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. Version: 9.0.0. 08:30:40.866918 340 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:30:40.866965 340 - Connected to MC. 08:30:40.866979 340 - Initializing variables, timers and ports of component type M3UA_Emulation.M3UA_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.867291 mtc BSSAP_LE_Adapter.ttcn:101 PTC was created. Component reference: 340, alive: yes, type: M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. 08:30:40.867386 mtc BSSAP_LE_Adapter.ttcn:102 Mapping port VirtSMLC-M3UA(340):SCTP_PORT to system:sctp. 08:30:40.869185 340 - Port MTP3_SP_PORT was started. 08:30:40.869248 340 - Port SCTP_PORT was started. 08:30:40.869262 340 - Component type M3UA_Emulation.M3UA_CT was initialized. 08:30:40.869293 340 - Port SCTP_PORT was mapped to system:sctp. 08:30:40.869532 mtc BSSAP_LE_Adapter.ttcn:102 Map operation of VirtSMLC-M3UA(340):SCTP_PORT to system:sctp finished. 08:30:40.869655 mtc BSSAP_LE_Adapter.ttcn:104 Connecting ports VirtSMLC-M3UA(340):MTP3_SP_PORT and VirtSMLC-SCCP(339):MTP3_SCCP_PORT. 08:30:40.870007 339 - Port MTP3_SCCP_PORT is waiting for connection from VirtSMLC-M3UA(340):MTP3_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-1658f52e. 08:30:40.870170 340 - Port MTP3_SP_PORT has established the connection with VirtSMLC-SCCP(339):MTP3_SCCP_PORT using transport type UNIX. 08:30:40.870214 339 - Port MTP3_SCCP_PORT has accepted the connection from VirtSMLC-M3UA(340):MTP3_SP_PORT. 08:30:40.870371 mtc BSSAP_LE_Adapter.ttcn:104 Connect operation on VirtSMLC-M3UA(340):MTP3_SP_PORT and VirtSMLC-SCCP(339):MTP3_SCCP_PORT finished. 08:30:40.870508 mtc BSSAP_LE_Adapter.ttcn:105 Starting function f_M3UA_Emulation({ local_sctp_port := 23908, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 6) on component VirtSMLC-M3UA(340). 08:30:40.870829 mtc BSSAP_LE_Adapter.ttcn:105 Function was started. 08:30:40.870919 340 - Starting function f_M3UA_Emulation({ local_sctp_port := 23908, local_ip_addr := "172.18.149.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.149.200" }, 6). 08:30:40.870965 mtc BSC_Tests.ttcn:1268 Connecting ports mtc:BSSAP_LE and VirtSMLC-SCCP(339):SCCP_SP_PORT. 08:30:40.871418 mtc BSC_Tests.ttcn:1268 Port BSSAP_LE is waiting for connection from VirtSMLC-SCCP(339):SCCP_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-b414e71d. 08:30:40.871597 339 - Port SCCP_SP_PORT has established the connection with mtc:BSSAP_LE using transport type UNIX. 08:30:40.871641 340 M3UA_Emulation.ttcn:512 ************************************************* 08:30:40.871693 340 M3UA_Emulation.ttcn:513 M3UA emulation initiated, the test can be started 08:30:40.871712 340 M3UA_Emulation.ttcn:514 ************************************************* 08:30:40.871771 mtc BSC_Tests.ttcn:1268 Port BSSAP_LE has accepted the connection from VirtSMLC-SCCP(339):SCCP_SP_PORT. 08:30:40.871881 mtc BSC_Tests.ttcn:1268 Connect operation on mtc:BSSAP_LE and VirtSMLC-SCCP(339):SCCP_SP_PORT finished. 08:30:40.871942 mtc BSSAP_LE_Adapter.ttcn:122 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 252 }) on component VirtSMLC-SCCP(339). 08:30:40.872184 mtc BSSAP_LE_Adapter.ttcn:122 Function was started. 08:30:40.872288 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 08:30:40.872434 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.872516 340 M3UA_Emulation.ttcn:554 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP_ConnectFrom : { local_hostname := "172.18.149.203", local_portnumber := 23908, peer_hostname := "172.18.149.200", peer_portnumber := 2905 } 08:30:40.872531 339 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 252 }). 08:30:40.873218 339 SCCP_Emulation.ttcn:1527 v_sccp_pdu_maxlen:268 08:30:40.876791 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" id 16 08:30:40.876976 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 08:30:40.877846 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.878782 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.879713 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:30:40.880732 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:30:40.881647 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" with ? matched 08:30:40.882630 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.149.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.149.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.149.20\n local-ip fd02:db8:149::20\n remote-ip 172.18.149.200\n remote-ip fd02:db8:149::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.149.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.149.20\n local-port 48050\n client\n remote-ip 172.18.149.203\nsmlc\n enable\nend" id 16 08:30:40.882786 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 08:30:40.882811 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.882837 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.882850 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 08:30:40.882861 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 08:30:40.882873 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.882895 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 08:30:40.882930 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.883163 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 18 08:30:40.883235 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.883267 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.883301 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.883316 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 18 08:30:40.883329 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 18 was extracted from the queue of BSCVTY. 08:30:40.883342 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.883359 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 08:30:40.883394 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.883544 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 19 08:30:40.883587 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.883617 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.883651 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.883666 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 19 08:30:40.883678 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 19 was extracted from the queue of BSCVTY. 08:30:40.883691 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.883705 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 08:30:40.883733 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.883854 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 20 08:30:40.883895 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.883929 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.883970 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.883989 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 20 08:30:40.884005 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 08:30:40.884021 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.884038 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.884070 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.884183 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 21 08:30:40.884221 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.884254 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.884293 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.884311 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 21 08:30:40.884327 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 08:30:40.884350 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.884367 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.884397 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.884499 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 22 08:30:40.884536 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.884568 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.884585 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 22 08:30:40.884600 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 22 was extracted from the queue of BSCVTY. 08:30:40.884615 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.884637 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 08:30:40.884668 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.884784 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 08:30:40.884820 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.884850 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.884886 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.884903 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 08:30:40.884917 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 08:30:40.884932 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.884948 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1" 08:30:40.884975 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.885096 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 24 08:30:40.885131 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.885160 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.885196 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.885213 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 24 08:30:40.885226 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 24 was extracted from the queue of BSCVTY. 08:30:40.885241 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.885257 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 08:30:40.885283 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.885395 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 25 08:30:40.885426 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.885454 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.885488 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.885503 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 25 08:30:40.885522 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 08:30:40.885537 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.885552 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.885578 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.885618 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 26 08:30:40.885649 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.885677 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.885711 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.885727 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 26 08:30:40.885741 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 08:30:40.885755 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.885769 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.885793 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.885826 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 27 08:30:40.885855 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.885883 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.885897 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 27 08:30:40.885911 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 27 was extracted from the queue of BSCVTY. 08:30:40.885924 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.885941 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 08:30:40.885965 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.886003 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 28 08:30:40.886033 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.886060 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.886093 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.886109 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 28 08:30:40.886122 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 08:30:40.886135 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.886150 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2" 08:30:40.886174 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.886213 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 29 08:30:40.886242 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.886269 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.886335 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.886366 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 29 08:30:40.886375 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 29 was extracted from the queue of BSCVTY. 08:30:40.886384 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.886394 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 08:30:40.886408 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.886548 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 30 08:30:40.886570 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.886585 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.886602 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.886609 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 30 08:30:40.886614 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 30 was extracted from the queue of BSCVTY. 08:30:40.886620 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.886625 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.886634 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.886713 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 31 08:30:40.886725 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.886736 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:40.886751 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:40.886757 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 31 08:30:40.886762 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 31 was extracted from the queue of BSCVTY. 08:30:40.886767 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.886772 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 08:30:40.886780 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:40.886854 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 08:30:40.886865 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:40.886877 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:40.886882 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 08:30:40.886887 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 08:30:40.886892 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:40.886899 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 08:30:40.890185 341 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.890246 341 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: IPA-CTRL-CLI-IPA(341), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 08:30:40.890260 341 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:30:40.890288 341 - Connected to MC. 08:30:40.890306 341 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.890361 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 341, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 08:30:40.890370 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(341):IPA_PORT to system:IPA_CODEC_PT. 08:30:40.891530 341 - Port IPA_PORT was started. 08:30:40.891546 341 - Port CFG_PORT was started. 08:30:40.891551 341 - Port MTP3_SP_PORT was started. 08:30:40.891556 341 - Port IPA_MGCP_PORT was started. 08:30:40.891562 341 - Port IPA_RSL_PORT was started. 08:30:40.891566 341 - Port IPA_OML_PORT was started. 08:30:40.891571 341 - Port IPA_CTRL_PORT was started. 08:30:40.891576 341 - Port IPA_SP_PORT was started. 08:30:40.891581 341 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 08:30:40.891613 341 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 08:30:40.891684 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(341):IPA_PORT to system:IPA_CODEC_PT finished. 08:30:40.891698 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(341):IPA_CTRL_PORT and mtc:IPA_CTRL. 08:30:40.891836 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(341):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-f813f21f. 08:30:40.891970 341 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 08:30:40.891992 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(341):IPA_CTRL_PORT. 08:30:40.892052 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(341):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 08:30:40.892061 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.149.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(341). 08:30:40.892141 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 08:30:40.892149 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 08:30:40.892340 341 - Starting function main_client("172.18.149.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 08:30:40.892594 341 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.149.20:4249 / TCP 08:30:40.894192 341 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 08:30:40.894337 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(341) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 08:30:40.894359 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 08:30:40.894370 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(341): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 08:30:40.894382 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 08:30:40.894401 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 08:30:40.900605 342 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:40.900706 342 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: VirtMGW-MGCP-0(342), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 08:30:40.900734 342 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:30:40.900785 342 - Connected to MC. 08:30:40.900800 342 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_chan_rel_conn_fail. 08:30:40.900915 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 342, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 08:30:40.900944 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.149.20", callagent_udp_port := -1, mgw_ip := "172.18.149.203", mgw_udp_port := 2427, multi_conn_mode := false }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(342). 08:30:40.901019 mtc BSC_Tests.ttcn:1104 Function was started. 08:30:40.901032 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 08:30:40.901045 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.821992. 08:30:40.901077 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(341) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "821992486", variable := "bts.0.oml-connection-state", val := omit } } 08:30:40.901096 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 08:30:40.901400 341 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "821992486", variable := "bts.0.oml-connection-state", val := omit } } id 1 08:30:40.901600 341 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 08:30:40.901645 341 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "821992486", variable := "bts.0.oml-connection-state", val := omit } } id 1 08:30:40.901679 341 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 08:30:40.901721 341 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "821992486", variable := "bts.0.oml-connection-state", val := omit } } 08:30:40.901889 341 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 821992486 bts.0.oml-connection-state" 08:30:40.902776 341 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 821992486 bts.0.oml-connection-state") } 08:30:40.902993 341 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 821992486 bts.0.oml-connection-state") } 08:30:40.903074 342 - Port MGCP was started. 08:30:40.903108 342 - Port MGCP_CLIENT was started. 08:30:40.903119 342 - Port MGCP_CLIENT_MULTI was started. 08:30:40.903128 342 - Port MGCP_PROC was started. 08:30:40.903137 342 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 08:30:40.903274 342 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.149.20", callagent_udp_port := -1, mgw_ip := "172.18.149.203", mgw_udp_port := 2427, multi_conn_mode := false }, "VirtMGW-MGCP-0"). 08:30:40.903284 341 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 08:30:40.903410 341 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 08:30:40.903850 342 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(342):MGCP to system:MGCP_CODEC_PT. 08:30:40.904107 342 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 08:30:40.904354 341 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 46191, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 08:30:40.904371 342 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(342):MGCP to system:MGCP_CODEC_PT finished. 08:30:40.904497 341 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 08:30:40.904727 342 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.149.203:2427 / UDP 08:30:40.904791 341 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 821992486 bts.0.oml-connection-state degraded") } 08:30:40.904861 341 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 821992486 bts.0.oml-connection-state degraded") } id 1 08:30:40.904999 341 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:40.905046 341 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920383231393932343836206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 821992486 bts.0.oml-connection-state degraded") } id 1 08:30:40.905072 341 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 08:30:40.905124 341 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 821992486 bts.0.oml-connection-state degraded" 08:30:40.905269 341 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 821992486 bts.0.oml-connection-state degraded 08:30:40.905286 341 IPA_Emulation.ttcnpp:627 match_begin token: null_match 08:30:40.905301 341 IPA_Emulation.ttcnpp:627 match_begin result: 0 08:30:40.905444 341 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 821992486 bts.0.oml-connection-state degraded 08:30:40.905463 341 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 08:30:40.905483 341 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 08:30:40.905497 341 IPA_Emulation.ttcnpp:627 match_begin result: 3 08:30:40.905511 341 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 821992486 bts.0.oml-connection-state degraded 08:30:40.905525 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:40.905539 341 IPA_Emulation.ttcnpp:627 match_begin result: -1 08:30:40.905630 341 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 821992486 bts.0.oml-connection-state degraded 08:30:40.905646 341 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 08:30:40.905664 341 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 08:30:40.905677 341 IPA_Emulation.ttcnpp:627 match_begin result: 9 08:30:40.905690 341 IPA_Emulation.ttcnpp:627 match_begin data: 821992486 bts.0.oml-connection-state degraded 08:30:40.905703 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:40.905716 341 IPA_Emulation.ttcnpp:627 match_begin result: 1 08:30:40.905736 341 IPA_Emulation.ttcnpp:627 match_first data: 821992486 bts.0.oml-connection-state degraded 08:30:40.905748 341 IPA_Emulation.ttcnpp:627 match_first token: " " 08:30:40.905762 341 IPA_Emulation.ttcnpp:627 match_first result: 9 08:30:40.905774 341 IPA_Emulation.ttcnpp:627 match_list data: 821992486 bts.0.oml-connection-state degraded 08:30:40.905786 341 IPA_Emulation.ttcnpp:627 match_list result: 9 08:30:40.905799 341 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 08:30:40.905812 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:40.905824 341 IPA_Emulation.ttcnpp:627 match_begin result: 1 08:30:40.905837 341 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 08:30:40.905856 341 IPA_Emulation.ttcnpp:627 match_first token: " " 08:30:40.905869 341 IPA_Emulation.ttcnpp:627 match_first result: 26 08:30:40.905881 341 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 08:30:40.905894 341 IPA_Emulation.ttcnpp:627 match_list result: 26 08:30:40.905907 341 IPA_Emulation.ttcnpp:627 match_begin data: degraded 08:30:40.905922 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:40.905936 341 IPA_Emulation.ttcnpp:627 match_begin result: 1 08:30:40.905949 341 IPA_Emulation.ttcnpp:627 match_first data: degraded 08:30:40.905961 341 IPA_Emulation.ttcnpp:627 match_first token: " " 08:30:40.905974 341 IPA_Emulation.ttcnpp:627 match_first result: -1 08:30:40.905986 341 IPA_Emulation.ttcnpp:627 match_list data: degraded 08:30:40.905998 341 IPA_Emulation.ttcnpp:627 match_list result: -1 08:30:40.906052 341 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "821992486", variable := "bts.0.oml-connection-state", val := "degraded" } } 08:30:40.906132 341 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "821992486", variable := "bts.0.oml-connection-state", val := "degraded" } } 08:30:40.906229 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(341) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "821992486", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 08:30:40.906265 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 08:30:40.906287 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(341): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "821992486", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 08:30:40.906319 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 08:30:40.906356 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 08:30:40.906373 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 08:30:41.074210 340 M3UA_Emulation.ttcn:560 Start timer T_Timer: 2 s 08:30:41.075105 340 M3UA_Emulation.ttcn:561 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP_RESULT : { client_id := 8, error_status := false, error_message := omit } id 1 08:30:41.075546 340 M3UA_Emulation.ttcn:562 Matching on port SCTP_PORT succeeded: matched 08:30:41.075633 340 M3UA_Emulation.ttcn:562 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP_RESULT : { client_id := 8, error_status := false, error_message := omit } id 1 08:30:41.075713 340 M3UA_Emulation.ttcn:562 Message with id 1 was extracted from the queue of SCTP_PORT. 08:30:41.075755 340 M3UA_Emulation.ttcn:569 SCTP_ConnectResult -> connection established from: "172.18.149.203":23908 to server: "172.18.149.200":2905 association #8 08:30:41.075915 340 M3UA_Emulation.ttcn:579 Stop timer T_Timer: 2 s 08:30:41.075945 340 M3UA_Emulation.ttcn:537 Start timer T_Assoc_restart: 60 s 08:30:41.076034 340 M3UA_Emulation.ttcn:542 Start timer T_ASPUP_resend: 2 s 08:30:41.076059 340 M3UA_Emulation.ttcn:543 Start timer T_ASPAC_resend: 2 s 08:30:41.076896 340 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE : { client_id := 8, sac_state := SCTP_COMM_UP (0) } id 2 08:30:41.077057 340 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT succeeded: matched 08:30:41.077093 340 M3UA_Emulation.ttcn:668 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE : { client_id := 8, sac_state := SCTP_COMM_UP (0) } id 2 08:30:41.077122 340 M3UA_Emulation.ttcn:668 Message with id 2 was extracted from the queue of SCTP_PORT. 08:30:41.078379 340 M3UA_Emulation.ttcn:959 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_ASPUP := { version := '01'O, reserved := '00'O, messageClassAndType := '0301'O, messageLength := 0, messageParameters := { aSP_Identifier := omit, info_String := omit } } } 08:30:41.078779 340 M3UA_Emulation.ttcn:959 enc_PDU_M3UA(): Stream after encoding: '0100030100000008'O 08:30:41.078844 340 M3UA_Emulation.ttcn:959 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030100000008'O } 08:30:41.079218 340 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030400000008'O } id 3 08:30:41.079267 340 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 08:30:41.079286 340 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 08:30:41.079294 340 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030400000008'O } id 3 08:30:41.079300 340 M3UA_Emulation.ttcn:711 Message with id 3 was extracted from the queue of SCTP_PORT. 08:30:41.079333 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100030400000008'O 08:30:41.079434 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_ASPUP_Ack := { version := '01'O, reserved := '00'O, messageClassAndType := '0304'O, messageLength := 8, messageParameters := { info_String := omit } } } 08:30:41.079633 340 M3UA_Emulation.ttcn:1189 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_ASPAC := { version := '01'O, reserved := '00'O, messageClassAndType := '0401'O, messageLength := 0, messageParameters := { traffic_Mode_Type := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, info_String := omit } } } 08:30:41.079693 340 M3UA_Emulation.ttcn:1189 enc_PDU_M3UA(): Stream after encoding: '01000401000000100006000800000006'O 08:30:41.079725 340 M3UA_Emulation.ttcn:1189 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000401000000100006000800000006'O } 08:30:41.079980 340 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100020006000800000006'O } id 4 08:30:41.080018 340 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 08:30:41.080079 340 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 08:30:41.080114 340 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100020006000800000006'O } id 4 08:30:41.080140 340 M3UA_Emulation.ttcn:711 Message with id 4 was extracted from the queue of SCTP_PORT. 08:30:41.080164 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100000100000018000D0008000100020006000800000006'O 08:30:41.080599 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_NOTIFY := { version := '01'O, reserved := '00'O, messageClassAndType := '0001'O, messageLength := 24, messageParameters := { status := { tag := '000D'O, lengthInd := 8, statusType := '0001'O, statusInfo := '0002'O }, aSP_Identifier := { tag := '0006'O, lengthInd := 8, aSPIdentifier := '00000006'O }, routing_Context := omit, info_String := omit } } } 08:30:41.080808 340 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000403000000100006000800000006'O } id 5 08:30:41.080839 340 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 08:30:41.080864 340 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 08:30:41.080890 340 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000403000000100006000800000006'O } id 5 08:30:41.080915 340 M3UA_Emulation.ttcn:711 Message with id 5 was extracted from the queue of SCTP_PORT. 08:30:41.080937 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '01000403000000100006000800000006'O 08:30:41.081111 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_ASPAC_Ack := { version := '01'O, reserved := '00'O, messageClassAndType := '0403'O, messageLength := 16, messageParameters := { traffic_Mode_Type := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, info_String := omit } } } 08:30:41.081423 340 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100030006000800000006'O } id 6 08:30:41.081456 340 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 08:30:41.081480 340 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 08:30:41.081507 340 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100030006000800000006'O } id 6 08:30:41.081532 340 M3UA_Emulation.ttcn:711 Message with id 6 was extracted from the queue of SCTP_PORT. 08:30:41.081554 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100000100000018000D0008000100030006000800000006'O 08:30:41.081596 340 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_NOTIFY := { version := '01'O, reserved := '00'O, messageClassAndType := '0001'O, messageLength := 24, messageParameters := { status := { tag := '000D'O, lengthInd := 8, statusType := '0001'O, statusInfo := '0003'O }, aSP_Identifier := { tag := '0006'O, lengthInd := 8, aSPIdentifier := '00000006'O }, routing_Context := omit, info_String := omit } } } 08:30:41.906513 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 08:30:41.906712 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 08:30:41.914090 343 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:30:41.914148 343 - TTCN-3 Parallel Test Component started on 1acabccebd05. Component reference: IPA-BTS0-TRX0-RSL-IPA(343), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 08:30:41.914159 343 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:30:41.914188 343 - Connected to MC. 08:30:41.914195 343 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_chan_rel_conn_fail. 08:30:41.914336 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 343, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 08:30:41.914370 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 08:30:41.914388 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 08:30:41.914396 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(343):IPA_PORT to system:IPA_CODEC_PT. 08:30:41.917711 343 - Port IPA_PORT was started. 08:30:41.917768 343 - Port CFG_PORT was started. 08:30:41.917786 343 - Port MTP3_SP_PORT was started. 08:30:41.917804 343 - Port IPA_MGCP_PORT was started. 08:30:41.917821 343 - Port IPA_RSL_PORT was started. 08:30:41.917837 343 - Port IPA_OML_PORT was started. 08:30:41.917853 343 - Port IPA_CTRL_PORT was started. 08:30:41.917870 343 - Port IPA_SP_PORT was started. 08:30:41.917887 343 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 08:30:41.917991 343 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 08:30:41.918238 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(343):IPA_PORT to system:IPA_CODEC_PT finished. 08:30:41.918333 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(343):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 08:30:41.918841 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(343):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-f4548b6e. 08:30:41.919135 343 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 08:30:41.919354 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(343):CFG_PORT. 08:30:41.919501 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(343):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 08:30:41.919544 mtc BSC_Tests.ttcn:995 Connecting ports IPA-BTS0-TRX0-RSL-IPA(343):IPA_RSL_PORT and mtc:IPA_RSL[0][0]. 08:30:41.919987 mtc BSC_Tests.ttcn:995 Port IPA_RSL[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(343):IPA_RSL_PORT on UNIX pathname /tmp/ttcn3-portconn-974eab76. 08:30:41.920277 343 - Port IPA_RSL_PORT has established the connection with mtc:IPA_RSL[0][0] using transport type UNIX. 08:30:41.920497 mtc BSC_Tests.ttcn:995 Port IPA_RSL[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(343):IPA_RSL_PORT. 08:30:41.920642 mtc BSC_Tests.ttcn:995 Connect operation on IPA-BTS0-TRX0-RSL-IPA(343):IPA_RSL_PORT and mtc:IPA_RSL[0][0] finished. 08:30:41.920683 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.149.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(343). 08:30:41.921002 mtc BSC_Tests.ttcn:999 Function was started. 08:30:41.921117 mtc BSC_Tests.ttcn:1006 Start timer T: 10 s 08:30:41.921431 343 - Starting function main_client("172.18.149.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 08:30:41.922164 343 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.149.20:3003 / TCP 08:30:41.925696 343 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 08:30:41.926118 343 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 08:30:41.926819 343 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 08:30:41.927192 343 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 08:30:41.927513 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 08:30:41.927665 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 08:30:41.927892 343 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 08:30:41.929221 343 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 08:30:41.929597 mtc BSC_Tests.ttcn:1007 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 08:30:41.929735 mtc BSC_Tests.ttcn:1008 Matching on port IPA_RSL[0][0] ASP_IPA_EVENT_UP (1) with ASP_IPA_EVENT_ID_ACK (3) unmatched: First message in the queue does not match the template: 08:30:41.929775 mtc BSC_Tests.ttcn:1011 Matching on port IPA_RSL[0][0] succeeded: matched 08:30:41.929802 mtc BSC_Tests.ttcn:1011 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 08:30:41.929829 mtc BSC_Tests.ttcn:1011 Message with id 1 was extracted from the queue of IPA_RSL[0][0]. 08:30:41.931607 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 08:30:41.931701 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 08:30:41.932012 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 08:30:41.932066 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 08:30:41.932118 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 08:30:41.932157 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 08:30:41.932178 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 08:30:41.932200 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 08:30:41.932386 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 08:30:41.932442 343 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 08:30:41.932472 343 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 08:30:41.932493 343 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 08:30:41.932568 343 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 08:30:41.932625 343 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 08:30:41.933103 343 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 08:30:41.933219 343 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 08:30:41.933253 343 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 08:30:41.933391 343 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 08:30:41.933412 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 08:30:41.933459 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 08:30:41.933498 343 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 08:30:41.933593 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 08:30:41.933614 343 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 08:30:41.933631 343 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 08:30:41.933646 343 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 08:30:41.933661 343 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 08:30:41.933674 343 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 08:30:41.933711 343 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 08:30:41.933811 mtc BSC_Tests.ttcn:1007 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 08:30:41.933905 mtc BSC_Tests.ttcn:1008 Matching on port IPA_RSL[0][0] succeeded: matched 08:30:41.933933 mtc BSC_Tests.ttcn:1008 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 08:30:41.933960 mtc BSC_Tests.ttcn:1008 Message with id 2 was extracted from the queue of IPA_RSL[0][0]. 08:30:41.933979 mtc BSC_Tests.ttcn:1009 Stop timer T: 10 s 08:30:41.934006 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 08:30:41.934026 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.063579. 08:30:41.934070 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(341) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "63578945", variable := "bts.0.oml-connection-state", val := omit } } 08:30:41.934102 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 08:30:41.934221 341 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "63578945", variable := "bts.0.oml-connection-state", val := omit } } id 2 08:30:41.934343 341 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 08:30:41.934367 341 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "63578945", variable := "bts.0.oml-connection-state", val := omit } } id 2 08:30:41.934385 341 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 08:30:41.934399 341 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "63578945", variable := "bts.0.oml-connection-state", val := omit } } 08:30:41.934421 341 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 63578945 bts.0.oml-connection-state" 08:30:41.934468 341 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '474554203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 63578945 bts.0.oml-connection-state") } 08:30:41.934489 341 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '474554203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 63578945 bts.0.oml-connection-state") } 08:30:41.934522 341 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0028EE00474554203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 08:30:41.934547 341 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0028EE00474554203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 08:30:41.934843 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 08:30:41.934885 341 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 4249, locName := "172.18.149.203", locPort := 46191, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C59203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 2 08:30:41.934911 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O 08:30:41.934943 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } 08:30:41.934971 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 08:30:41.934989 341 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C59203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 08:30:41.934994 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 08:30:41.935009 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O 08:30:41.935027 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } 08:30:41.935058 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 08:30:41.935074 341 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C59203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 63578945 bts.0.oml-connection-state connected") } 08:30:41.935075 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 08:30:41.935088 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 08:30:41.935121 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 08:30:41.935138 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 08:30:41.935168 341 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C59203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 63578945 bts.0.oml-connection-state connected") } id 2 08:30:41.935218 341 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.935263 341 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C59203633353738393435206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 63578945 bts.0.oml-connection-state connected") } id 2 08:30:41.935271 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.935299 341 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 08:30:41.935303 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.935320 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 08:30:41.935335 341 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 63578945 bts.0.oml-connection-state connected" 08:30:41.935338 343 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 08:30:41.935385 341 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 63578945 bts.0.oml-connection-state connected 08:30:41.935413 341 IPA_Emulation.ttcnpp:627 match_begin token: null_match 08:30:41.935439 341 IPA_Emulation.ttcnpp:627 match_begin result: 0 08:30:41.935458 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O 08:30:41.935482 341 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 63578945 bts.0.oml-connection-state connected 08:30:41.935508 341 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 08:30:41.935542 341 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 08:30:41.935568 341 IPA_Emulation.ttcnpp:627 match_begin result: 3 08:30:41.935594 341 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 63578945 bts.0.oml-connection-state connected 08:30:41.935619 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:41.935645 341 IPA_Emulation.ttcnpp:627 match_begin result: -1 08:30:41.935682 341 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 63578945 bts.0.oml-connection-state connected 08:30:41.935707 341 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 08:30:41.935739 341 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 08:30:41.935764 341 IPA_Emulation.ttcnpp:627 match_begin result: 9 08:30:41.935789 341 IPA_Emulation.ttcnpp:627 match_begin data: 63578945 bts.0.oml-connection-state connected 08:30:41.935813 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:41.935839 341 IPA_Emulation.ttcnpp:627 match_begin result: 1 08:30:41.935864 341 IPA_Emulation.ttcnpp:627 match_first data: 63578945 bts.0.oml-connection-state connected 08:30:41.935887 341 IPA_Emulation.ttcnpp:627 match_first token: " " 08:30:41.935912 341 IPA_Emulation.ttcnpp:627 match_first result: 8 08:30:41.935936 341 IPA_Emulation.ttcnpp:627 match_list data: 63578945 bts.0.oml-connection-state connected 08:30:41.935959 341 IPA_Emulation.ttcnpp:627 match_list result: 8 08:30:41.935983 341 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 08:30:41.936007 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:41.936033 341 IPA_Emulation.ttcnpp:627 match_begin result: 1 08:30:41.936058 341 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 08:30:41.936082 341 IPA_Emulation.ttcnpp:627 match_first token: " " 08:30:41.936107 341 IPA_Emulation.ttcnpp:627 match_first result: 26 08:30:41.936130 341 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 08:30:41.936152 341 IPA_Emulation.ttcnpp:627 match_list result: 26 08:30:41.936174 341 IPA_Emulation.ttcnpp:627 match_begin data: connected 08:30:41.936196 341 IPA_Emulation.ttcnpp:627 match_begin token: " " 08:30:41.936219 341 IPA_Emulation.ttcnpp:627 match_begin result: 1 08:30:41.936242 341 IPA_Emulation.ttcnpp:627 match_first data: connected 08:30:41.936263 341 IPA_Emulation.ttcnpp:627 match_first token: " " 08:30:41.936268 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } 08:30:41.936295 341 IPA_Emulation.ttcnpp:627 match_first result: -1 08:30:41.936318 341 IPA_Emulation.ttcnpp:627 match_list data: connected 08:30:41.936340 341 IPA_Emulation.ttcnpp:627 match_list result: -1 08:30:41.936363 341 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "63578945", variable := "bts.0.oml-connection-state", val := "connected" } } 08:30:41.936406 341 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "63578945", variable := "bts.0.oml-connection-state", val := "connected" } } 08:30:41.936490 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(341) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "63578945", variable := "bts.0.oml-connection-state", val := "connected" } } id 3 08:30:41.936566 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 08:30:41.936588 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(341): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "63578945", variable := "bts.0.oml-connection-state", val := "connected" } } id 3 08:30:41.936604 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 3 was extracted from the queue of IPA_CTRL. 08:30:41.936618 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 08:30:41.936632 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 08:30:41.937678 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 08:30:41.937870 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.937883 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.937894 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 08:30:41.937904 343 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 08:30:41.937911 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 08:30:41.937913 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O 08:30:41.937933 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } 08:30:41.937997 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 08:30:41.938023 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.938032 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.938035 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 08:30:41.938042 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 08:30:41.938051 343 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 08:30:41.938061 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 08:30:41.938074 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 08:30:41.938098 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 08:30:41.938124 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 08:30:41.938160 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 08:30:41.938171 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 08:30:41.938184 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 08:30:41.938198 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 08:30:41.938211 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 08:30:41.938219 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 08:30:41.938230 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 08:30:41.938242 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 08:30:41.938254 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 08:30:41.938265 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O 08:30:41.938277 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } 08:30:41.938291 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 08:30:41.938312 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 08:30:41.938320 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O 08:30:41.938330 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } 08:30:41.938342 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 08:30:41.938353 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 08:30:41.938361 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 08:30:41.938371 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 08:30:41.938383 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 08:30:41.938394 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 08:30:41.938402 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 08:30:41.938412 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 08:30:41.938424 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 08:30:41.938434 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 08:30:41.938442 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 08:30:41.938450 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 08:30:41.938463 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 08:30:41.938474 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 08:30:41.938482 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 08:30:41.938492 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 08:30:41.938504 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 08:30:41.938514 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 08:30:41.938522 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 08:30:41.938531 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 08:30:41.938542 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 08:30:41.938555 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 08:30:41.938563 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 08:30:41.938578 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 08:30:41.938594 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 08:30:41.938605 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.938614 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.938623 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 08:30:41.938631 343 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 08:30:41.938641 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 08:30:41.938656 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 08:30:41.938692 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 08:30:41.938708 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.938715 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.938719 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 08:30:41.938724 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 08:30:41.938732 343 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 08:30:41.938738 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 08:30:41.938750 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 08:30:41.938774 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 08:30:41.938787 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.938794 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.938797 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 08:30:41.938801 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 08:30:41.938809 343 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 08:30:41.938815 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O 08:30:41.938829 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } 08:30:41.938853 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } 08:30:41.938865 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.938872 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.938875 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 08:30:41.938880 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 08:30:41.938887 343 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 08:30:41.938894 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O 08:30:41.938905 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } 08:30:41.938930 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } 08:30:41.938943 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.938950 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.938951 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 9 08:30:41.938958 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 08:30:41.938966 343 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 08:30:41.938972 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 08:30:41.938986 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 08:30:41.939010 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 08:30:41.939023 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.939030 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.939030 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 08:30:41.939037 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 08:30:41.939044 343 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 08:30:41.939050 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 08:30:41.939077 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 08:30:41.939142 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 08:30:41.939157 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.939164 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.939165 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 08:30:41.939171 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 08:30:41.939178 343 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 08:30:41.939184 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 08:30:41.939192 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 08:30:41.939207 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 08:30:41.939220 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.939226 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 08:30:41.939227 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.939236 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 08:30:41.939242 343 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 08:30:41.939248 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 08:30:41.939258 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 08:30:41.939277 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 08:30:41.939287 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.939294 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.939294 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 08:30:41.939301 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 08:30:41.939308 343 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 08:30:41.939314 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 08:30:41.939323 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 08:30:41.939340 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 08:30:41.939350 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:41.939357 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:41.939357 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 08:30:41.939365 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 08:30:41.939375 343 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 08:30:41.939381 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 08:30:41.939439 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 08:30:41.939602 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 08:30:41.939634 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 08:30:42.936698 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 08:30:42.936754 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 08:30:42.936785 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:42.936972 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 33 08:30:42.937016 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:42.937034 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:42.937051 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 08:30:42.937058 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 33 08:30:42.937065 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 33 was extracted from the queue of BSCVTY. 08:30:42.937071 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:42.937077 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 08:30:42.937094 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:42.937230 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 34 08:30:42.937264 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:42.937279 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:42.937296 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 08:30:42.937302 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 34 08:30:42.937308 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 34 was extracted from the queue of BSCVTY. 08:30:42.937313 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:42.937320 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 08:30:42.937337 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:42.937478 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 35 08:30:42.937513 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:42.937528 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:42.937544 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 08:30:42.937550 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 35 08:30:42.937556 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 35 was extracted from the queue of BSCVTY. 08:30:42.937561 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:42.937568 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 08:30:42.937585 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:42.937744 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 36 08:30:42.937784 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:42.937799 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:42.937815 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 08:30:42.937820 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 36 08:30:42.937826 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 36 was extracted from the queue of BSCVTY. 08:30:42.937831 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:42.937837 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 08:30:42.937854 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:42.937986 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 37 08:30:42.938020 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:42.938036 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:42.938042 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 37 08:30:42.938047 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 37 was extracted from the queue of BSCVTY. 08:30:42.938052 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:42.938059 mtc Osmocom_VTY_Functions.ttcn:333 "TC_chan_rel_conn_fail() start" 08:30:42.938073 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_chan_rel_conn_fail() start" 08:30:42.938109 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:42.938281 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 38 08:30:42.938332 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:42.938361 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:42.938367 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 38 08:30:42.938373 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 38 was extracted from the queue of BSCVTY. 08:30:42.938378 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:42.938388 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.443750. 08:30:42.938419 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.352419. 08:30:42.938423 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.469501. 08:30:42.938427 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.775395. 08:30:42.938432 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.721574. 08:30:42.938436 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.145300. 08:30:42.938439 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.574666. 08:30:42.938443 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.073262. 08:30:42.938447 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.398553. 08:30:42.938451 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.222677. 08:30:42.938520 mtc BSC_Tests.ttcn:617 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { locationUpdateRequest := { messageType := '000000'B, nsd := '00'B, locationUpdatingType := { lut := '10'B, spare1_1 := '0'B, fop := '0'B }, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, locationAreaIdentification := { mcc_mnc := '123456'O, lac := '172A'O }, mobileStationClassmark1 := { rf_PowerCapability := '010'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B }, mobileIdentityLV := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001014347715032'H, fillerDigit := omit } } } }, classmarkInformationType2_forUMTS := omit, additionalUpdateParameterTV := omit, deviceProperties := omit, mS_NetworkFeatureSupport := omit } } } } 08:30:42.938561 mtc BSC_Tests.ttcn:617 enc_PDU_ML3_MS_NW(): Stream after encoding: '050802123456172A52080910103474170523'O 08:30:42.938647 mtc BSC_Tests.ttcn:1372 Sent on IPA_RSL[0][0] to IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 08:30:42.938668 mtc BSC_Tests.ttcn:1353 Start timer T: 2 s 08:30:42.938681 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938687 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938695 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 08:30:42.938702 mtc BSC_Tests.ttcn:1358 Message with id 3 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938708 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938713 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938719 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 08:30:42.938724 mtc BSC_Tests.ttcn:1358 Message with id 4 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938730 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938734 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938739 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 08:30:42.938746 mtc BSC_Tests.ttcn:1358 Message with id 5 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938751 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938755 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938761 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 08:30:42.938766 mtc BSC_Tests.ttcn:1358 Message with id 6 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938771 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938775 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938781 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 08:30:42.938786 mtc BSC_Tests.ttcn:1358 Message with id 7 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938791 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938795 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938800 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 08:30:42.938805 mtc BSC_Tests.ttcn:1358 Message with id 8 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938810 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938814 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938819 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 9 08:30:42.938826 mtc BSC_Tests.ttcn:1358 Message with id 9 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938832 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_CCHAN (6) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_BCCH_INFO (17) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938836 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938842 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 08:30:42.938847 mtc BSC_Tests.ttcn:1358 Message with id 10 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938852 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938857 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938862 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 08:30:42.938867 mtc BSC_Tests.ttcn:1358 Message with id 11 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938872 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938876 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938880 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 08:30:42.938885 mtc BSC_Tests.ttcn:1358 Message with id 12 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938890 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938894 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938899 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 08:30:42.938907 mtc BSC_Tests.ttcn:1358 Message with id 13 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938912 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938916 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938921 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 08:30:42.938926 mtc BSC_Tests.ttcn:1358 Message with id 14 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938931 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 08:30:42.938935 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:42.938944 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 08:30:42.938950 mtc BSC_Tests.ttcn:1358 Message with id 15 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.938998 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 1 08:30:42.939106 343 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 08:30:42.939127 343 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from mtc: @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 1 08:30:42.939142 343 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 08:30:42.939159 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 08:30:42.939328 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C130188132302F71100'O 08:30:42.939352 343 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C130188132302F71100'O } 08:30:42.939361 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C130188132302F71100'O } 08:30:42.939374 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C130188132302F71100'O 08:30:42.939382 343 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C130188132302F71100'O } 08:30:42.939635 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101200300060403030100050664204367720004000D0718001F00'O } id 16 08:30:42.939655 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101200300060403030100050664204367720004000D0718001F00'O 08:30:42.939665 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101200300060403030100050664204367720004000D0718001F00'O } 08:30:42.939677 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 08:30:42.939686 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:42.939692 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:42.939698 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 08:30:42.939703 343 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 08:30:42.939707 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101200300060403030100050664204367720004000D0718001F00'O 08:30:42.939980 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 08:30:42.940609 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 08:30:42.940719 mtc BSC_Tests.ttcn:1354 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 08:30:42.940732 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] succeeded: matched 08:30:42.940743 mtc BSC_Tests.ttcn:1355 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 08:30:42.940749 mtc BSC_Tests.ttcn:1355 Message with id 16 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.940754 mtc BSC_Tests.ttcn:1356 Stop timer T: 2 s 08:30:42.940772 mtc BSC_Tests.ttcn:1372 Sent on IPA_RSL[0][0] to IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 33, t2 := 7 } } } } } } 08:30:42.940788 mtc BSC_Tests.ttcn:1353 Start timer T: 2 s 08:30:42.940811 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 33, t2 := 7 } } } } } } id 2 08:30:42.940824 343 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 08:30:42.940830 343 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from mtc: @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 33, t2 := 7 } } } } } } id 2 08:30:42.940836 343 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 08:30:42.940840 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 33, t2 := 7 } } } } } 08:30:42.940874 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08220120080427'O 08:30:42.940883 343 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08220120080427'O } 08:30:42.940889 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08220120080427'O } 08:30:42.940896 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00070008220120080427'O 08:30:42.940901 343 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00070008220120080427'O } 08:30:42.941027 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 08:30:42.941033 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 08:30:42.941040 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } 08:30:42.941050 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 08:30:42.941056 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:42.941065 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:42.941070 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 08:30:42.941074 343 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 08:30:42.941078 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 08:30:42.941098 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 08:30:42.941129 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 08:30:42.941146 mtc BSC_Tests.ttcn:1354 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 08:30:42.941156 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] succeeded: matched 08:30:42.941162 mtc BSC_Tests.ttcn:1355 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 08:30:42.941168 mtc BSC_Tests.ttcn:1355 Message with id 17 was extracted from the queue of IPA_RSL[0][0]. 08:30:42.941172 mtc BSC_Tests.ttcn:1356 Stop timer T: 2 s 08:30:42.941196 mtc BSC_Tests.ttcn:1372 Sent on IPA_RSL[0][0] to IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '050802123456172A52080910103474170523'O } } } } } } 08:30:42.941251 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '050802123456172A52080910103474170523'O } } } } } } id 3 08:30:42.941261 343 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 08:30:42.941268 343 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from mtc: @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '050802123456172A52080910103474170523'O } } } } } } id 3 08:30:42.941273 343 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 08:30:42.941277 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '050802123456172A52080910103474170523'O } } } } } 08:30:42.941317 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206012002000B0012050802123456172A52080910103474170523'O 08:30:42.941326 343 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206012002000B0012050802123456172A52080910103474170523'O } 08:30:42.941331 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206012002000B0012050802123456172A52080910103474170523'O } 08:30:42.941338 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '001B000206012002000B0012050802123456172A52080910103474170523'O 08:30:42.941344 343 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '001B000206012002000B0012050802123456172A52080910103474170523'O } 08:30:42.942473 337 SCCP_Emulation.ttcn:1400 Warning: Stopping inactive timer T_ias[0]. 08:30:42.942509 mtc BSC_Tests.ttcn:1949 Message enqueued on BSSAP from VirtMSC-SCCP(337) @SCCPasp_Types.ASP_SCCP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := '002A5705080000F110000100001712050802123456172A520809101034741705237D09808283045781840407'O, connectionId := 12334876, importance := omit } id 2 08:30:42.942535 mtc BSSAP_CodecPort.ttcn:233 dec_PDU_BSSAP(): Stream before decoding: '002A5705080000F110000100001712050802123456172A520809101034741705237D09808283045781840407'O 08:30:42.942684 mtc BSSAP_CodecPort.ttcn:233 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 42, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 18, layer3info := '050802123456172A52080910103474170523'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } 08:30:42.942733 mtc BSC_Tests.ttcn:1949 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 42, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 18, layer3info := '050802123456172A52080910103474170523'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } }, connectionId := 12334876, importance := omit } id 2 08:30:42.942771 mtc BSC_Tests.ttcn:1949 Matching on port BSSAP succeeded: matched 08:30:42.942784 mtc BSC_Tests.ttcn:1949 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(337): @BSSAP_CodecPort.BSSAP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 42, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 18, layer3info := '050802123456172A52080910103474170523'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } }, connectionId := 12334876, importance := omit } id 2 08:30:42.942795 mtc BSC_Tests.ttcn:1949 Message with id 2 was extracted from the queue of BSSAP. 08:30:42.942806 mtc BSC_Tests.ttcn:1951 Sent on BSSAP to VirtMSC-SCCP(337) @BSSAP_CodecPort.BSSAP_N_CONNECT_res : { respondingAddress := omit, expeditedDataSel := omit, qualityOfService := omit, userData := omit, connectionId := 12334876, importance := omit } 08:30:42.942813 mtc BSC_Tests.ttcn:1951 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_CONNECT_res : { respondingAddress := omit, expeditedDataSel := omit, qualityOfService := omit, userData := omit, connectionId := 12334876, importance := omit } 08:30:42.942828 mtc Osmocom_Types.ttcn:118 Start timer T: 0.2 s 08:30:42.943053 337 SCCP_Emulation.ttcn:1298 Warning: Re-starting timer T_ias[0], which is already active (running or expired). 08:30:43.077913 340 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 08:30:43.078096 340 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 08:30:43.078137 340 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 08:30:43.078160 340 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 08:30:43.142846 mtc Osmocom_Types.ttcn:119 Timeout T: 0.2 s 08:30:43.143238 mtc BSC_Tests.ttcn:1372 Sent on IPA_RSL[0][0] to IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 0, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } } 08:30:43.143948 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 0, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } } id 4 08:30:43.144145 343 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 08:30:43.144197 343 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from mtc: @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 0, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } } id 4 08:30:43.144240 343 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 08:30:43.144266 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CONN_FAIL (36), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_CAUSE (26), body := { cause := { len := 0, e := 0, cause := RSL_ERR_RADIO_LINK_FAIL (1), cause_ext := omit } } } } } 08:30:43.144381 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082401201A0101'O 08:30:43.144431 343 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082401201A0101'O } 08:30:43.144468 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082401201A0101'O } 08:30:43.144512 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082401201A0101'O 08:30:43.144546 343 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082401201A0101'O } 08:30:43.146923 mtc BSC_Tests.ttcn:2052 Message enqueued on BSSAP from VirtMSC-SCCP(337) @SCCPasp_Types.ASP_SCCP_N_DATA_ind : { userData := '000422040101'O, connectionId := 12334876, importance := omit } id 3 08:30:43.147046 mtc BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Stream before decoding: '000422040101'O 08:30:43.147412 mtc BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0000001'B, extensionCauseValue := '0'B, spare1 := omit } } } } } 08:30:43.147497 mtc BSC_Tests.ttcn:2052 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0000001'B, extensionCauseValue := '0'B, spare1 := omit } } } } }, connectionId := 12334876, importance := omit } id 3 08:30:43.147574 mtc BSC_Tests.ttcn:2052 Matching on port BSSAP succeeded: matched 08:30:43.147609 mtc BSC_Tests.ttcn:2052 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(337): @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { clearRequest := { messageType := '22'O ("\""), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0000001'B, extensionCauseValue := '0'B, spare1 := omit } } } } }, connectionId := 12334876, importance := omit } id 3 08:30:43.147644 mtc BSC_Tests.ttcn:2052 Message with id 3 was extracted from the queue of BSSAP. 08:30:43.147762 mtc BSC_Tests.ttcn:2056 Sent on BSSAP to VirtMSC-SCCP(337) @BSSAP_CodecPort.BSSAP_N_DATA_req : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000001'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } }, connectionId := 12334876, importance := omit } 08:30:43.147793 mtc BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000001'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } 08:30:43.147860 mtc BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Stream after encoding: '000420040101'O 08:30:43.147892 mtc BSC_Tests.ttcn:2056 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DATA_req : { userData := '000420040101'O, connectionId := 12334876, importance := omit } 08:30:43.147970 mtc BSC_Tests.ttcn:1353 Start timer T: 12 s 08:30:43.150703 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301012002000B0003060D01'O } id 18 08:30:43.150838 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301012002000B0003060D01'O 08:30:43.150890 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301012002000B0003060D01'O } 08:30:43.150936 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D01'O } id 18 08:30:43.150976 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250120'O } id 19 08:30:43.151000 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250120'O 08:30:43.151027 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250120'O } 08:30:43.151056 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 08:30:43.151101 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:43.151135 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:43.151164 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D01'O } id 18 08:30:43.151192 343 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 08:30:43.151217 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301012002000B0003060D01'O 08:30:43.151297 mtc BSC_Tests.ttcn:1354 Message enqueued on BSSAP from VirtMSC-SCCP(337) @SCCPasp_Types.ASP_SCCP_N_DATA_ind : { userData := '000121'O, connectionId := 12334876, importance := omit } id 4 08:30:43.151355 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D01'O } } } } } 08:30:43.151426 mtc BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Stream before decoding: '000121'O 08:30:43.151590 mtc BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } 08:30:43.151651 mtc BSC_Tests.ttcn:1354 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } }, connectionId := 12334876, importance := omit } id 4 08:30:43.151750 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D01'O } } } } } } 08:30:43.151792 mtc BSC_Tests.ttcn:1072 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 08:30:43.151906 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:43.151954 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:43.151981 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 08:30:43.152008 343 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 08:30:43.152009 mtc BSC_Tests.ttcn:1354 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D01'O } } } } } } id 18 08:30:43.152030 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250120'O 08:30:43.152067 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 08:30:43.152099 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_RF_CHAN_REL (46) unmatched: First message in the queue does not match the template: 08:30:43.152136 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:43.152168 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 08:30:43.152174 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D01'O } } } } } } id 18 08:30:43.152217 mtc BSC_Tests.ttcn:1358 Message with id 18 was extracted from the queue of IPA_RSL[0][0]. 08:30:43.152259 mtc BSC_Tests.ttcn:1072 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 08:30:43.152306 mtc BSC_Tests.ttcn:1354 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 08:30:43.152345 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_RF_CHAN_REL (46) unmatched: First message in the queue does not match the template: 08:30:43.152370 mtc BSC_Tests.ttcn:1358 Matching on port IPA_RSL[0][0] succeeded. 08:30:43.152397 mtc BSC_Tests.ttcn:1358 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata: { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 08:30:43.152425 mtc BSC_Tests.ttcn:1358 Message with id 19 was extracted from the queue of IPA_RSL[0][0]. 08:30:43.152457 mtc BSC_Tests.ttcn:1072 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 08:30:45.079334 340 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 08:30:45.079544 340 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 08:30:45.079626 340 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 08:30:45.079666 340 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 08:30:45.151327 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.149.20", remPort := 3003, locName := "172.18.149.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0120'O } id 20 08:30:45.151484 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0120'O 08:30:45.151536 343 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0120'O } 08:30:45.151579 343 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 08:30:45.151636 343 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 08:30:45.151675 343 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 08:30:45.151703 343 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 08:30:45.151734 343 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 08:30:45.151761 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0120'O 08:30:45.151832 343 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 08:30:45.152001 343 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 08:30:45.152264 mtc BSC_Tests.ttcn:1354 Message enqueued on IPA_RSL[0][0] from IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 08:30:45.152474 mtc BSC_Tests.ttcn:1355 Matching on port IPA_RSL[0][0] succeeded: matched 08:30:45.152521 mtc BSC_Tests.ttcn:1355 Receive operation on port IPA_RSL[0][0] succeeded, message from IPA-BTS0-TRX0-RSL-IPA(343): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 08:30:45.152556 mtc BSC_Tests.ttcn:1355 Message with id 20 was extracted from the queue of IPA_RSL[0][0]. 08:30:45.152585 mtc BSC_Tests.ttcn:1356 Stop timer T: 12 s 08:30:45.152706 mtc BSC_Tests.ttcn:1372 Sent on IPA_RSL[0][0] to IPA-BTS0-TRX0-RSL-IPA(343) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 08:30:45.152836 mtc BSC_Tests.ttcn:2000 Matching on port BSSAP succeeded: matched 08:30:45.152874 mtc BSC_Tests.ttcn:2000 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(337): @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } }, connectionId := 12334876, importance := omit } id 4 08:30:45.152908 mtc BSC_Tests.ttcn:2000 Message with id 4 was extracted from the queue of BSSAP. 08:30:45.152956 mtc BSC_Tests.ttcn:2003 Sent on BSSAP to VirtMSC-SCCP(337) @BSSAP_CodecPort.BSSAP_N_DISCONNECT_req : { respondingAddress := omit, reason := 0, userData := omit, connectionId := 12334876, importance := omit } 08:30:45.152974 343 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 08:30:45.152994 mtc BSC_Tests.ttcn:2003 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DISCONNECT_req : { respondingAddress := omit, reason := 0, userData := omit, connectionId := 12334876, importance := omit } 08:30:45.153040 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 08:30:45.153173 343 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 08:30:45.153221 343 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from mtc: @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 08:30:45.153255 343 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 08:30:45.153281 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 08:30:45.153377 343 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330120'O 08:30:45.153422 343 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330120'O } 08:30:45.153456 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330120'O } 08:30:45.153497 343 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330120'O 08:30:45.153529 343 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330120'O } 08:30:46.153245 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 08:30:46.153478 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 08:30:46.153629 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:30:46.155042 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" id 39 08:30:46.155164 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 40 08:30:46.155297 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:46.155388 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:30:46.155463 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:30:46.155505 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:30:46.155540 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" with ? matched 08:30:46.155574 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1371626 bytes in 1293 blocks)" id 39 08:30:46.155630 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 39 was extracted from the queue of BSCVTY. 08:30:46.155689 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:30:46.155751 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 08:30:46.155780 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 40 08:30:46.155807 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 40 was extracted from the queue of BSCVTY. 08:30:46.155836 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:30:46.155873 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 08:30:46.155936 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 08:30:46.155977 mtc BSC_Tests.ttcn:918 Stopping all components. 08:30:46.156298 336 StatsD_Checker.ttcnpp:135 Kill was requested from MC. 08:30:46.156299 340 M3UA_Emulation.ttcn:596 Stop was requested from MC. 08:30:46.156314 337 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 08:30:46.156326 339 SCCP_Emulation.ttcn:5513 Stop was requested from MC. 08:30:46.156330 338 M3UA_Emulation.ttcn:596 Kill was requested from MC. 08:30:46.156330 342 MGCP_Emulation.ttcn:290 Stop was requested from MC. 08:30:46.156339 341 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 08:30:46.156368 343 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 08:30:46.156444 340 M3UA_Emulation.ttcn:596 Stopping test component execution. 08:30:46.156475 337 SCCP_Emulation.ttcn:5513 Terminating test component execution. 08:30:46.156476 341 IPA_Emulation.ttcnpp:735 Terminating test component execution. 08:30:46.156478 338 M3UA_Emulation.ttcn:596 Terminating test component execution. 08:30:46.156478 342 MGCP_Emulation.ttcn:290 Stopping test component execution. 08:30:46.156516 343 IPA_Emulation.ttcnpp:735 Stopping test component execution. 08:30:46.156521 339 SCCP_Emulation.ttcn:5513 Stopping test component execution. 08:30:46.156945 336 StatsD_Checker.ttcnpp:135 Terminating test component execution. 08:30:46.157591 337 - Function SCCPStart was stopped. PTC terminates. 08:30:46.157695 337 - Terminating component type SCCP_Emulation.SCCP_CT. 08:30:46.157698 341 - Function main_client was stopped. PTC terminates. 08:30:46.157800 341 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 08:30:46.157829 341 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 08:30:46.157837 337 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.157871 337 - Final verdict of PTC: none 08:30:46.157893 mtc BSC_Tests.ttcn:918 Connection of port BSSAP to VirtMSC-SCCP(337):SCCP_SP_PORT was closed unexpectedly by the peer. 08:30:46.157919 339 - Function SCCPStart was stopped. PTC remains alive and is waiting for next start. 08:30:46.157932 338 - Function f_M3UA_Emulation was stopped. PTC terminates. 08:30:46.157948 340 - Function f_M3UA_Emulation was stopped. PTC remains alive and is waiting for next start. 08:30:46.158009 mtc BSC_Tests.ttcn:918 Port BSSAP was disconnected from VirtMSC-SCCP(337):SCCP_SP_PORT. 08:30:46.158077 343 - Function main_client was stopped. PTC remains alive and is waiting for next start. 08:30:46.158098 338 - Terminating component type M3UA_Emulation.M3UA_CT. 08:30:46.158190 342 - Function main was stopped. PTC remains alive and is waiting for next start. 08:30:46.158451 336 - Function main was stopped. PTC terminates. 08:30:46.158578 336 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 08:30:46.158611 336 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 08:30:46.158674 338 - Component type M3UA_Emulation.M3UA_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.158727 338 - Final verdict of PTC: none 08:30:46.158752 341 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 08:30:46.158813 336 - Port STATSVTY was unmapped from system:STATSVTY. 08:30:46.158817 341 - Port IPA_PORT was stopped. 08:30:46.158843 341 - Port CFG_PORT was stopped. 08:30:46.158857 336 - Port STATSVTY was stopped. 08:30:46.158861 341 - Port MTP3_SP_PORT was stopped. 08:30:46.158882 341 - Port IPA_MGCP_PORT was stopped. 08:30:46.158885 336 - Port STATSD_PROC was stopped. 08:30:46.158900 341 - Port IPA_RSL_PORT was stopped. 08:30:46.158904 336 - Removing unterminated mapping between port STATS and system:STATS. 08:30:46.158918 341 - Port IPA_OML_PORT was stopped. 08:30:46.158935 341 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 08:30:46.158987 341 - Port IPA_CTRL_PORT was stopped. 08:30:46.159005 341 - Port IPA_SP_PORT was stopped. 08:30:46.159021 341 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.159050 341 - Final verdict of PTC: none 08:30:46.159107 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(341):IPA_CTRL_PORT was closed unexpectedly by the peer. 08:30:46.159227 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(341):IPA_CTRL_PORT. 08:30:46.159427 341 - Disconnected from MC. 08:30:46.159449 340 - Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100020100000018000600080000000600120008000000B9'O } id 7 08:30:46.159560 341 - TTCN-3 Parallel Test Component finished. 08:30:46.159786 336 - Port STATS was unmapped from system:STATS. 08:30:46.159846 336 - Port STATS was stopped. 08:30:46.159865 336 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.159896 336 - Final verdict of PTC: none 08:30:46.159969 mtc BSC_Tests.ttcn:918 All components were stopped. 08:30:46.160031 336 - Disconnected from MC. 08:30:46.160069 336 - TTCN-3 Parallel Test Component finished. 08:30:46.160078 mtc BSC_Tests.ttcn:919 setverdict(pass): none -> pass 08:30:46.160136 mtc BSC_Tests.ttcn:920 Stopping test component execution. 08:30:46.160214 mtc BSC_Tests.ttcn:2064 Test case TC_chan_rel_conn_fail was stopped. 08:30:46.160237 mtc BSC_Tests.ttcn:2064 Terminating component type BSC_Tests.test_CT. 08:30:46.160262 mtc BSC_Tests.ttcn:2064 Default with id 1 (altstep as_Tguard) was deactivated. 08:30:46.160289 mtc BSC_Tests.ttcn:2064 Stop timer T_guard: 30 s 08:30:46.160321 mtc BSC_Tests.ttcn:2064 Port IPA_CTRL was stopped. 08:30:46.160346 mtc BSC_Tests.ttcn:2064 Port RSL_CCHAN[0] was stopped. 08:30:46.160365 mtc BSC_Tests.ttcn:2064 Port RSL_CCHAN[1] was stopped. 08:30:46.160384 mtc BSC_Tests.ttcn:2064 Port RSL_CCHAN[2] was stopped. 08:30:46.160402 mtc BSC_Tests.ttcn:2064 Removing unterminated connection between port IPA_RSL[0][0] and IPA-BTS0-TRX0-RSL-IPA(343):IPA_RSL_PORT. 08:30:46.160499 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[0][0] was stopped. 08:30:46.160521 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[0][1] was stopped. 08:30:46.160543 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[0][2] was stopped. 08:30:46.160562 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[0][3] was stopped. 08:30:46.160579 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[1][0] was stopped. 08:30:46.160585 343 - Connection of port IPA_RSL_PORT to mtc:IPA_RSL[0][0] was closed unexpectedly by the peer. 08:30:46.160598 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[1][1] was stopped. 08:30:46.160616 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[1][2] was stopped. 08:30:46.160636 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[1][3] was stopped. 08:30:46.160655 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[2][0] was stopped. 08:30:46.160673 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[2][1] was stopped. 08:30:46.160692 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[2][2] was stopped. 08:30:46.160695 343 - Port IPA_RSL_PORT was disconnected from mtc:IPA_RSL[0][0]. 08:30:46.160710 mtc BSC_Tests.ttcn:2064 Port IPA_RSL[2][3] was stopped. 08:30:46.160731 mtc BSC_Tests.ttcn:2064 Port IPA was stopped. 08:30:46.160750 mtc BSC_Tests.ttcn:2064 Port SCCPLITE_IPA_CTRL was stopped. 08:30:46.160769 mtc BSC_Tests.ttcn:2064 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(343):CFG_PORT. 08:30:46.160821 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[0][0] was stopped. 08:30:46.160837 343 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 08:30:46.160841 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[0][1] was stopped. 08:30:46.160863 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[0][2] was stopped. 08:30:46.160882 343 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 08:30:46.160883 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[0][3] was stopped. 08:30:46.160907 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[1][0] was stopped. 08:30:46.160926 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[1][1] was stopped. 08:30:46.160945 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[1][2] was stopped. 08:30:46.160963 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[1][3] was stopped. 08:30:46.161002 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[2][0] was stopped. 08:30:46.161029 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[2][1] was stopped. 08:30:46.161048 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[2][2] was stopped. 08:30:46.161066 mtc BSC_Tests.ttcn:2064 Port IPA_CFG_PORT[2][3] was stopped. 08:30:46.161085 mtc BSC_Tests.ttcn:2064 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 08:30:46.161185 mtc BSC_Tests.ttcn:2064 Port BSCVTY was unmapped from system:BSCVTY. 08:30:46.161221 mtc BSC_Tests.ttcn:2064 Port BSCVTY was stopped. 08:30:46.161241 mtc BSC_Tests.ttcn:2064 Port BSSAP was stopped. 08:30:46.161260 mtc BSC_Tests.ttcn:2064 Removing unterminated connection between port BSSAP_LE and VirtSMLC-SCCP(339):SCCP_SP_PORT. 08:30:46.161313 mtc BSC_Tests.ttcn:2064 Port BSSAP_LE was stopped. 08:30:46.161333 mtc BSC_Tests.ttcn:2064 Component type BSC_Tests.test_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.161358 mtc BSC_Tests.ttcn:2064 Waiting for PTCs to finish. 08:30:46.161359 339 - Connection of port SCCP_SP_PORT to mtc:BSSAP_LE was closed unexpectedly by the peer. 08:30:46.161500 339 - Port SCCP_SP_PORT was disconnected from mtc:BSSAP_LE. 08:30:46.161550 340 - Kill was requested from MC. Terminating idle PTC. 08:30:46.161564 343 - Kill was requested from MC. Terminating idle PTC. 08:30:46.161567 342 - Kill was requested from MC. Terminating idle PTC. 08:30:46.161618 339 - Kill was requested from MC. Terminating idle PTC. 08:30:46.161664 340 - Terminating component type M3UA_Emulation.M3UA_CT. 08:30:46.161666 343 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 08:30:46.161671 339 - Terminating component type SCCP_Emulation.SCCP_CT. 08:30:46.161683 342 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 08:30:46.161698 343 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 08:30:46.161719 339 - Port SCCP_SP_PORT was stopped. 08:30:46.161722 340 - Stop timer T_ASPUP_resend: 2 s 08:30:46.161735 342 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 08:30:46.161767 339 - Removing unterminated connection between port MTP3_SCCP_PORT and VirtSMLC-M3UA(340):MTP3_SP_PORT. 08:30:46.161778 340 - Stop timer T_ASPAC_resend: 2 s 08:30:46.161820 340 - Stop timer T_Assoc_restart: 60 s 08:30:46.161863 340 - Removing unterminated connection between port MTP3_SP_PORT and VirtSMLC-SCCP(339):MTP3_SCCP_PORT. 08:30:46.161898 339 - Port MTP3_SCCP_PORT was stopped. 08:30:46.161956 339 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.161981 340 - Port MTP3_SP_PORT was stopped. 08:30:46.162023 339 - Final verdict of PTC: none 08:30:46.162035 340 - Removing unterminated mapping between port SCTP_PORT and system:sctp. 08:30:46.162262 339 - Disconnected from MC. 08:30:46.162410 339 - TTCN-3 Parallel Test Component finished. 08:30:46.162609 343 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 08:30:46.162689 343 - Port IPA_PORT was stopped. 08:30:46.162696 340 - Port SCTP_PORT was unmapped from system:sctp. 08:30:46.162713 343 - Port CFG_PORT was stopped. 08:30:46.162732 343 - Port MTP3_SP_PORT was stopped. 08:30:46.162752 343 - Port IPA_MGCP_PORT was stopped. 08:30:46.162771 343 - Port IPA_RSL_PORT was stopped. 08:30:46.162789 343 - Port IPA_OML_PORT was stopped. 08:30:46.162807 343 - Port IPA_CTRL_PORT was stopped. 08:30:46.162824 343 - Port IPA_SP_PORT was stopped. 08:30:46.162841 343 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.162844 340 - Message with id 7 was extracted from the queue of SCTP_PORT. 08:30:46.162871 343 - Final verdict of PTC: none 08:30:46.162900 340 - Port SCTP_PORT was stopped. 08:30:46.162944 340 - Component type M3UA_Emulation.M3UA_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.163009 340 - Final verdict of PTC: none 08:30:46.163134 343 - Disconnected from MC. 08:30:46.163202 343 - TTCN-3 Parallel Test Component finished. 08:30:46.163206 340 - Disconnected from MC. 08:30:46.163217 342 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 08:30:46.163223 340 - TTCN-3 Parallel Test Component finished. 08:30:46.163246 342 - Port MGCP was stopped. 08:30:46.163258 342 - Port MGCP_CLIENT was stopped. 08:30:46.163267 342 - Port MGCP_CLIENT_MULTI was stopped. 08:30:46.163276 342 - Port MGCP_PROC was stopped. 08:30:46.163284 342 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_chan_rel_conn_fail. 08:30:46.163298 342 - Final verdict of PTC: none 08:30:46.163368 mtc BSC_Tests.ttcn:2064 Setting final verdict of the test case. 08:30:46.163411 mtc BSC_Tests.ttcn:2064 Local verdict of MTC: pass 08:30:46.163432 mtc BSC_Tests.ttcn:2064 Local verdict of PTC VirtMSC-STATS(336): none (pass -> pass) 08:30:46.163448 mtc BSC_Tests.ttcn:2064 Local verdict of PTC VirtMSC-SCCP(337): none (pass -> pass) 08:30:46.163459 342 - Disconnected from MC. 08:30:46.163464 mtc BSC_Tests.ttcn:2064 Local verdict of PTC VirtMSC-M3UA(338): none (pass -> pass) 08:30:46.163478 mtc BSC_Tests.ttcn:2064 Local verdict of PTC VirtSMLC-SCCP(339): none (pass -> pass) 08:30:46.163482 342 - TTCN-3 Parallel Test Component finished. 08:30:46.163496 mtc BSC_Tests.ttcn:2064 Local verdict of PTC VirtSMLC-M3UA(340): none (pass -> pass) 08:30:46.163511 mtc BSC_Tests.ttcn:2064 Local verdict of PTC IPA-CTRL-CLI-IPA(341): none (pass -> pass) 08:30:46.163525 mtc BSC_Tests.ttcn:2064 Local verdict of PTC VirtMGW-MGCP-0(342): none (pass -> pass) 08:30:46.163539 mtc BSC_Tests.ttcn:2064 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(343): none (pass -> pass) 08:30:46.163554 mtc BSC_Tests.ttcn:2064 Test case TC_chan_rel_conn_fail finished. Verdict: pass 08:30:46.163572 mtc BSC_Tests.ttcn:2064 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_chan_rel_conn_fail pass'. 08:30:51.395643 mtc BSC_Tests.ttcn:2064 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_chan_rel_conn_fail pass' was executed successfully (exit status: 0). 08:30:51.395729 mtc BSC_Tests.ttcn:2260 Switching to log file `BSC_Tests-TC_chan_rel_hard_clear-1acabccebd05-mtc.log'