09:00:00.160580 mtc BSC_Tests.ttcn:5595 Starting external command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_common_id'. 09:00:01.173573 mtc BSC_Tests.ttcn:5595 External command `../ttcn3-tcpdump-start.sh BSC_Tests.TC_common_id' was executed successfully (exit status: 0). 09:00:01.173757 mtc BSC_Tests.ttcn:5595 Test case TC_common_id started. 09:00:01.173802 mtc BSC_Tests.ttcn:5595 Initializing variables, timers and ports of component type BSC_Tests.test_CT inside testcase TC_common_id. 09:00:01.173998 mtc BSC_Tests.ttcn:5595 Port IPA_CTRL was started. 09:00:01.174032 mtc BSC_Tests.ttcn:5595 Port RSL_CCHAN[0] was started. 09:00:01.174054 mtc BSC_Tests.ttcn:5595 Port RSL_CCHAN[1] was started. 09:00:01.174072 mtc BSC_Tests.ttcn:5595 Port RSL_CCHAN[2] was started. 09:00:01.174091 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[0][0] was started. 09:00:01.174110 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[0][1] was started. 09:00:01.174129 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[0][2] was started. 09:00:01.174148 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[0][3] was started. 09:00:01.174167 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[1][0] was started. 09:00:01.174186 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[1][1] was started. 09:00:01.174204 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[1][2] was started. 09:00:01.174222 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[1][3] was started. 09:00:01.174241 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[2][0] was started. 09:00:01.174259 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[2][1] was started. 09:00:01.174277 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[2][2] was started. 09:00:01.174295 mtc BSC_Tests.ttcn:5595 Port IPA_RSL[2][3] was started. 09:00:01.174340 mtc BSC_Tests.ttcn:5595 Port IPA was started. 09:00:01.174359 mtc BSC_Tests.ttcn:5595 Port SCCPLITE_IPA_CTRL was started. 09:00:01.174379 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[0][0] was started. 09:00:01.174398 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[0][1] was started. 09:00:01.174417 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[0][2] was started. 09:00:01.174436 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[0][3] was started. 09:00:01.174454 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[1][0] was started. 09:00:01.174474 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[1][1] was started. 09:00:01.174492 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[1][2] was started. 09:00:01.174510 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[1][3] was started. 09:00:01.174529 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[2][0] was started. 09:00:01.174547 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[2][1] was started. 09:00:01.174566 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[2][2] was started. 09:00:01.174584 mtc BSC_Tests.ttcn:5595 Port IPA_CFG_PORT[2][3] was started. 09:00:01.174603 mtc BSC_Tests.ttcn:5595 Port BSCVTY was started. 09:00:01.174621 mtc BSC_Tests.ttcn:5595 Port BSSAP was started. 09:00:01.174640 mtc BSC_Tests.ttcn:5595 Port BSSAP_LE was started. 09:00:01.174658 mtc BSC_Tests.ttcn:5595 Component type BSC_Tests.test_CT was initialized. 09:00:01.174688 mtc BSC_Tests.ttcn:1243 Start timer T_guard: 30 s 09:00:01.174724 mtc BSC_Tests.ttcn:1244 Altstep as_Tguard was activated as default, id 1 09:00:01.174756 mtc BSC_Tests.ttcn:1127 Mapping port mtc:BSCVTY to system:BSCVTY. 09:00:01.175738 mtc BSC_Tests.ttcn:1127 Message enqueued on BSCVTY from system integer : 2 id 1 09:00:01.175866 mtc BSC_Tests.ttcn:1127 Port BSCVTY was mapped to system:BSCVTY. 09:00:01.176186 mtc BSC_Tests.ttcn:1127 Map operation of mtc:BSCVTY to system:BSCVTY finished. 09:00:01.176325 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 09:00:01.176371 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 09:00:01.176399 mtc Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 09:00:01.176509 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 09:00:01.176665 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.176711 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:01.176763 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:01.176786 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:01.176806 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:01.176827 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:01.176855 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 09:00:01.176884 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 09:00:01.176916 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 09:00:01.177070 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 09:00:01.177180 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.177259 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.177287 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 09:00:01.177315 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 09:00:01.177344 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.177381 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:00:01.177436 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.177928 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 3 09:00:01.178132 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.178225 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.178348 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.178389 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 3 09:00:01.178425 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of BSCVTY. 09:00:01.178460 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.178501 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "cs7 instance 0" 09:00:01.178603 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.179008 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 4 09:00:01.179213 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.179305 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.179401 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.179437 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 4 09:00:01.179472 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of BSCVTY. 09:00:01.179506 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.179549 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer ias 420" 09:00:01.179652 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.180047 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 5 09:00:01.180249 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.180340 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.180437 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.180472 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 5 09:00:01.180507 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 5 was extracted from the queue of BSCVTY. 09:00:01.180541 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.180582 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "sccp-timer iar 900" 09:00:01.180683 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.181074 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-cs7)# " id 6 09:00:01.181275 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.181367 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-cs7)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.181462 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-cs7)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.181497 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-cs7)# " id 6 09:00:01.181532 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of BSCVTY. 09:00:01.181566 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.181605 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 09:00:01.181706 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.181941 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 09:00:01.182009 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.182075 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.182105 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 09:00:01.182136 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 09:00:01.182164 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.182195 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:00:01.182243 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.182582 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 8 09:00:01.182787 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.182878 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.182972 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.183009 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 8 09:00:01.183044 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of BSCVTY. 09:00:01.183078 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.183140 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 09:00:01.183241 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.183630 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 9 09:00:01.183827 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.183905 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.183987 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.184019 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 9 09:00:01.184049 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of BSCVTY. 09:00:01.184079 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.184114 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 09:00:01.184208 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.184735 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 10 09:00:01.185028 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.185117 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.185204 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.185235 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 10 09:00:01.185266 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of BSCVTY. 09:00:01.185295 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.185324 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.185412 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.185853 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 11 09:00:01.186021 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.186092 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.186168 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.186195 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 11 09:00:01.186222 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 11 was extracted from the queue of BSCVTY. 09:00:01.186248 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.186278 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.186393 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.186707 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 12 09:00:01.186902 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.186998 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.187032 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 12 09:00:01.187067 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 12 was extracted from the queue of BSCVTY. 09:00:01.187124 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.187166 mtc StatsD_Checker.ttcnpp:373 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 09:00:01.194148 1580 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.194223 1580 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: VirtMSC-STATS(1580), component type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. Version: 9.0.0. 09:00:01.194245 1580 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.194285 1580 - Connected to MC. 09:00:01.194301 1580 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_common_id. 09:00:01.194479 mtc StatsD_Checker.ttcnpp:373 PTC was created. Component reference: 1580, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: VirtMSC-STATS. 09:00:01.194547 mtc StatsD_Checker.ttcnpp:374 Starting function main("172.18.128.203", 8125) on component VirtMSC-STATS(1580). 09:00:01.194702 mtc StatsD_Checker.ttcnpp:374 Function was started. 09:00:01.194737 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0 bssmap reset" 09:00:01.194796 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.195021 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 13 09:00:01.195083 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.195131 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.195146 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 13 09:00:01.195161 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 13 was extracted from the queue of BSCVTY. 09:00:01.195174 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.195190 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1 bssmap reset" 09:00:01.195223 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.195321 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 14 09:00:01.195352 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.195380 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.195392 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 14 09:00:01.195404 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 14 was extracted from the queue of BSCVTY. 09:00:01.195416 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.195429 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2 bssmap reset" 09:00:01.195450 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.195534 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 15 09:00:01.195560 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.195587 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.195597 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 15 09:00:01.195607 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 15 was extracted from the queue of BSCVTY. 09:00:01.195618 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.195677 mtc RAN_Adapter.ttcnpp:95 Creating new PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 09:00:01.196276 1580 - Port STATSVTY was started. 09:00:01.196294 1580 - Port STATSD_PROC was started. 09:00:01.196302 1580 - Port STATS was started. 09:00:01.196306 1580 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 09:00:01.196374 1580 - Starting function main("172.18.128.203", 8125). 09:00:01.196551 1580 StatsD_Checker.ttcnpp:117 Mapping port VirtMSC-STATS(1580):STATS to system:STATS. 09:00:01.196653 1580 StatsD_Checker.ttcnpp:117 Port STATS was mapped to system:STATS. 09:00:01.196727 1580 StatsD_Checker.ttcnpp:117 Map operation of VirtMSC-STATS(1580):STATS to system:STATS finished. 09:00:01.196887 1580 StatsD_Checker.ttcnpp:118 entering f__IPL4__PROVIDER__listen: 172.18.128.203:8125 / UDP 09:00:01.197933 1580 StatsD_Checker.ttcnpp:126 Mapping port VirtMSC-STATS(1580):STATSVTY to system:STATSVTY. 09:00:01.198579 1580 StatsD_Checker.ttcnpp:126 Message enqueued on STATSVTY from system integer : 2 id 1 09:00:01.198663 1580 StatsD_Checker.ttcnpp:126 Port STATSVTY was mapped to system:STATSVTY. 09:00:01.198782 1580 StatsD_Checker.ttcnpp:126 Map operation of VirtMSC-STATS(1580):STATSVTY to system:STATSVTY finished. 09:00:01.198953 1580 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 09:00:01.199028 1580 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 09:00:01.199047 1580 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 09:00:01.199188 1580 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 09:00:01.199262 1580 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.199320 1580 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:00:01.199328 1580 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:00:01.199333 1580 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:00:01.199338 1580 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:00:01.199354 1580 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 09:00:01.199411 1580 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 09:00:01.199434 1580 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 09:00:01.199447 1580 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 09:00:01.199526 1580 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 2 09:00:01.199602 1580 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.199630 1580 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.199637 1580 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 09:00:01.199651 1580 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 09:00:01.199671 1580 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.199690 1580 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 09:00:01.199708 1580 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.199765 1580 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoBSC# " id 3 09:00:01.199785 1580 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.199802 1580 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.199809 1580 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoBSC# " id 3 09:00:01.199816 1580 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 09:00:01.199823 1580 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.200608 1581 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.200704 1581 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_common_id. 09:00:01.200835 mtc RAN_Adapter.ttcnpp:95 PTC was created. Component reference: 1581, alive: no, type: SCCP_Emulation.SCCP_CT, component name: VirtMSC-SCCP. 09:00:01.200878 mtc RAN_Adapter.ttcnpp:97 Creating new PTC with component type RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 09:00:01.202130 1581 - Component type SCCP_Emulation.SCCP_CT was initialized. 09:00:01.203965 1582 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.204013 1582 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: VirtMSC-RAN(1582), component type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. Version: 9.0.0. 09:00:01.204023 1582 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.204047 1582 - Connected to MC. 09:00:01.204054 1582 - Initializing variables, timers and ports of component type RAN_Emulation.RAN_Emulation_CT inside testcase TC_common_id. 09:00:01.204159 mtc RAN_Adapter.ttcnpp:97 PTC was created. Component reference: 1582, alive: no, type: RAN_Emulation.RAN_Emulation_CT, component name: VirtMSC-RAN. 09:00:01.204178 mtc RAN_Adapter.ttcnpp:109 Creating new PTC with component type M3UA_Emulation.M3UA_CT, component name: VirtMSC-M3UA. 09:00:01.205219 1582 - Port BSSAP was started. 09:00:01.205234 1582 - Port CLIENT was started. 09:00:01.205237 1582 - Port MGCP was started. 09:00:01.205240 1582 - Port CTRL was started. 09:00:01.205243 1582 - Port CTRL_CLIENT was started. 09:00:01.205247 1582 - Port PROC was started. 09:00:01.205250 1582 - Component type RAN_Emulation.RAN_Emulation_CT was initialized. 09:00:01.207017 1583 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.207085 1583 - Initializing variables, timers and ports of component type M3UA_Emulation.M3UA_CT inside testcase TC_common_id. 09:00:01.207189 mtc RAN_Adapter.ttcnpp:109 PTC was created. Component reference: 1583, alive: no, type: M3UA_Emulation.M3UA_CT, component name: VirtMSC-M3UA. 09:00:01.207209 mtc RAN_Adapter.ttcnpp:110 Mapping port VirtMSC-M3UA(1583):SCTP_PORT to system:sctp. 09:00:01.208107 1583 - Component type M3UA_Emulation.M3UA_CT was initialized. 09:00:01.208184 mtc RAN_Adapter.ttcnpp:110 Map operation of VirtMSC-M3UA(1583):SCTP_PORT to system:sctp finished. 09:00:01.208196 mtc RAN_Adapter.ttcnpp:112 Connecting ports VirtMSC-M3UA(1583):MTP3_SP_PORT and VirtMSC-SCCP(1581):MTP3_SCCP_PORT. 09:00:01.208449 mtc RAN_Adapter.ttcnpp:112 Connect operation on VirtMSC-M3UA(1583):MTP3_SP_PORT and VirtMSC-SCCP(1581):MTP3_SCCP_PORT finished. 09:00:01.208458 mtc RAN_Adapter.ttcnpp:113 Starting function f_M3UA_Emulation({ local_sctp_port := 23905, local_ip_addr := "172.18.128.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.128.200" }, 1) on component VirtMSC-M3UA(1583). 09:00:01.208529 mtc RAN_Adapter.ttcnpp:113 Function was started. 09:00:01.208538 mtc RAN_Adapter.ttcnpp:158 Start timer T: 5 s 09:00:01.208549 mtc RAN_Adapter.ttcnpp:170 Connecting BSSAP RAN_Emulation to SCCP_SP_PORT 09:00:01.208558 mtc RAN_Adapter.ttcnpp:171 Connecting ports VirtMSC-RAN(1582):BSSAP and VirtMSC-SCCP(1581):SCCP_SP_PORT. 09:00:01.208566 1583 - Starting function f_M3UA_Emulation({ local_sctp_port := 23905, local_ip_addr := "172.18.128.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.128.200" }, 1). 09:00:01.208653 1582 - Port BSSAP has established the connection with VirtMSC-SCCP(1581):SCCP_SP_PORT using transport type UNIX. 09:00:01.208671 mtc RAN_Adapter.ttcnpp:171 Connect operation on VirtMSC-RAN(1582):BSSAP and VirtMSC-SCCP(1581):SCCP_SP_PORT finished. 09:00:01.208679 mtc RAN_Adapter.ttcnpp:189 Starting RAN_Emulation 09:00:01.208710 mtc RAN_Adapter.ttcnpp:190 Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_AoIP (0), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, "") on component VirtMSC-RAN(1582). 09:00:01.208893 mtc RAN_Adapter.ttcnpp:190 Function was started. 09:00:01.208921 mtc BSC_Tests.ttcn:1215 Connecting ports mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1582):CTRL_CLIENT. 09:00:01.209041 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL is waiting for connection from VirtMSC-RAN(1582):CTRL_CLIENT on UNIX pathname /tmp/ttcn3-portconn-ce19e002. 09:00:01.209191 1582 - Starting function main({ create_cb := refers(RAN_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.UnitdataCallback), decode_dtap := false, role_ms := false, protocol := RAN_PROTOCOL_BSSAP (0), transport := BSSAP_TRANSPORT_AoIP (0), use_osmux := false, bssap_reset_retries := 1, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit } }, ""). 09:00:01.209745 1582 Osmocom_Types.ttcn:118 Start timer T: 1 s 09:00:01.209797 1582 Osmocom_Types.ttcn:119 Port CTRL_CLIENT has established the connection with mtc:SCCPLITE_IPA_CTRL using transport type UNIX. 09:00:01.209827 mtc BSC_Tests.ttcn:1215 Port SCCPLITE_IPA_CTRL has accepted the connection from VirtMSC-RAN(1582):CTRL_CLIENT. 09:00:01.209895 mtc BSC_Tests.ttcn:1215 Connect operation on mtc:SCCPLITE_IPA_CTRL and VirtMSC-RAN(1582):CTRL_CLIENT finished. 09:00:01.209910 mtc RAN_Adapter.ttcnpp:197 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }) on component VirtMSC-SCCP(1581). 09:00:01.209948 mtc RAN_Adapter.ttcnpp:197 Function was started. 09:00:01.209994 mtc BSSAP_LE_Adapter.ttcn:95 Creating new alive PTC with component type SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. 09:00:01.210069 1581 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 185, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 254 }). 09:00:01.213020 1584 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.213064 1584 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: VirtSMLC-SCCP(1584), component type: SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. Version: 9.0.0. 09:00:01.213075 1584 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.213096 1584 - Connected to MC. 09:00:01.213103 1584 - Initializing variables, timers and ports of component type SCCP_Emulation.SCCP_CT inside testcase TC_common_id. 09:00:01.213147 mtc BSSAP_LE_Adapter.ttcn:95 PTC was created. Component reference: 1584, alive: yes, type: SCCP_Emulation.SCCP_CT, component name: VirtSMLC-SCCP. 09:00:01.213168 mtc BSSAP_LE_Adapter.ttcn:97 Creating new alive PTC with component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT, component name: VirtSMLC-BSSAP_LE. 09:00:01.214235 1584 - Port SCCP_SP_PORT was started. 09:00:01.214249 1584 - Port MTP3_SCCP_PORT was started. 09:00:01.214252 1584 - Component type SCCP_Emulation.SCCP_CT was initialized. 09:00:01.216284 1585 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.216333 1585 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: VirtSMLC-BSSAP_LE(1585), component type: BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT, component name: VirtSMLC-BSSAP_LE. Version: 9.0.0. 09:00:01.216343 1585 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.216367 1585 - Connected to MC. 09:00:01.216374 1585 - Initializing variables, timers and ports of component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT inside testcase TC_common_id. 09:00:01.216431 mtc BSSAP_LE_Adapter.ttcn:97 PTC was created. Component reference: 1585, alive: yes, type: BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT, component name: VirtSMLC-BSSAP_LE. 09:00:01.216447 mtc BSSAP_LE_Adapter.ttcn:101 Creating new alive PTC with component type M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. 09:00:01.217312 1585 - Port BSSAP_LE was started. 09:00:01.217325 1585 - Port CLIENT was started. 09:00:01.217328 1585 - Port PROC was started. 09:00:01.217331 1585 - Component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT was initialized. 09:00:01.219297 1586 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.219342 1586 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: VirtSMLC-M3UA(1586), component type: M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. Version: 9.0.0. 09:00:01.219352 1586 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.219373 1586 - Connected to MC. 09:00:01.219380 1586 - Initializing variables, timers and ports of component type M3UA_Emulation.M3UA_CT inside testcase TC_common_id. 09:00:01.219439 mtc BSSAP_LE_Adapter.ttcn:101 PTC was created. Component reference: 1586, alive: yes, type: M3UA_Emulation.M3UA_CT, component name: VirtSMLC-M3UA. 09:00:01.219456 mtc BSSAP_LE_Adapter.ttcn:102 Mapping port VirtSMLC-M3UA(1586):SCTP_PORT to system:sctp. 09:00:01.220343 1586 - Port MTP3_SP_PORT was started. 09:00:01.220368 1586 - Port SCTP_PORT was started. 09:00:01.220372 1586 - Component type M3UA_Emulation.M3UA_CT was initialized. 09:00:01.220383 1586 - Port SCTP_PORT was mapped to system:sctp. 09:00:01.220407 mtc BSSAP_LE_Adapter.ttcn:102 Map operation of VirtSMLC-M3UA(1586):SCTP_PORT to system:sctp finished. 09:00:01.220422 mtc BSSAP_LE_Adapter.ttcn:104 Connecting ports VirtSMLC-M3UA(1586):MTP3_SP_PORT and VirtSMLC-SCCP(1584):MTP3_SCCP_PORT. 09:00:01.220505 1584 - Port MTP3_SCCP_PORT is waiting for connection from VirtSMLC-M3UA(1586):MTP3_SP_PORT on UNIX pathname /tmp/ttcn3-portconn-8447f24d. 09:00:01.220524 1586 - Port MTP3_SP_PORT has established the connection with VirtSMLC-SCCP(1584):MTP3_SCCP_PORT using transport type UNIX. 09:00:01.220543 1584 - Port MTP3_SCCP_PORT has accepted the connection from VirtSMLC-M3UA(1586):MTP3_SP_PORT. 09:00:01.220553 mtc BSSAP_LE_Adapter.ttcn:104 Connect operation on VirtSMLC-M3UA(1586):MTP3_SP_PORT and VirtSMLC-SCCP(1584):MTP3_SCCP_PORT finished. 09:00:01.220566 mtc BSSAP_LE_Adapter.ttcn:105 Starting function f_M3UA_Emulation({ local_sctp_port := 23908, local_ip_addr := "172.18.128.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.128.200" }, 6) on component VirtSMLC-M3UA(1586). 09:00:01.220597 mtc BSSAP_LE_Adapter.ttcn:105 Function was started. 09:00:01.220609 mtc BSSAP_LE_Adapter.ttcn:109 Start timer T: 5 s 09:00:01.220622 mtc BSSAP_LE_Adapter.ttcn:112 Connecting BSSAP_LE_Emulation to SCCP_SP_PORT 09:00:01.220634 1586 - Starting function f_M3UA_Emulation({ local_sctp_port := 23908, local_ip_addr := "172.18.128.203", remote_sctp_port := 2905, remote_ip_addr := "172.18.128.200" }, 6). 09:00:01.220634 mtc BSSAP_LE_Adapter.ttcn:113 Connecting ports VirtSMLC-BSSAP_LE(1585):BSSAP_LE and VirtSMLC-SCCP(1584):SCCP_SP_PORT. 09:00:01.220679 1584 - Port SCCP_SP_PORT is waiting for connection from VirtSMLC-BSSAP_LE(1585):BSSAP_LE on UNIX pathname /tmp/ttcn3-portconn-b301ec3d. 09:00:01.220707 1585 - Port BSSAP_LE has established the connection with VirtSMLC-SCCP(1584):SCCP_SP_PORT using transport type UNIX. 09:00:01.220715 1584 - Port SCCP_SP_PORT has accepted the connection from VirtSMLC-BSSAP_LE(1585):BSSAP_LE. 09:00:01.220725 mtc BSSAP_LE_Adapter.ttcn:113 Connect operation on VirtSMLC-BSSAP_LE(1585):BSSAP_LE and VirtSMLC-SCCP(1584):SCCP_SP_PORT finished. 09:00:01.220758 mtc BSSAP_LE_Adapter.ttcn:114 Starting BSSAP_LE_Emulation 09:00:01.220796 mtc BSSAP_LE_Adapter.ttcn:115 Starting function main({ create_cb := refers(BSSAP_LE_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.BSSAP_LE_UnitdataCallback), decode_dtap := false, role_ms := false, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit } }, "") on component VirtSMLC-BSSAP_LE(1585). 09:00:01.220854 mtc BSSAP_LE_Adapter.ttcn:115 Function was started. 09:00:01.220866 mtc BSSAP_LE_Adapter.ttcn:122 Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 252 }) on component VirtSMLC-SCCP(1584). 09:00:01.220872 1586 M3UA_Emulation.ttcn:512 ************************************************* 09:00:01.220883 1586 M3UA_Emulation.ttcn:513 M3UA emulation initiated, the test can be started 09:00:01.220888 1586 M3UA_Emulation.ttcn:514 ************************************************* 09:00:01.220899 mtc BSSAP_LE_Adapter.ttcn:122 Function was started. 09:00:01.220910 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show running-config" 09:00:01.220950 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.221125 1584 - Starting function SCCPStart({ sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, sccp_serviceType := "mtp3_itu", ssn := 252 }). 09:00:01.221176 1585 - Starting function main({ create_cb := refers(BSSAP_LE_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MSC_ConnectionHandler.BSSAP_LE_UnitdataCallback), decode_dtap := false, role_ms := false, sccp_addr_local := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sccp_addr_peer := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit } }, ""). 09:00:01.221182 1586 M3UA_Emulation.ttcn:554 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP_ConnectFrom : { local_hostname := "172.18.128.203", local_portnumber := 23908, peer_hostname := "172.18.128.200", peer_portnumber := 2905 } 09:00:01.221480 1584 SCCP_Emulation.ttcn:1527 v_sccp_pdu_maxlen:268 09:00:01.221488 1585 Osmocom_Types.ttcn:118 Start timer T: 1 s 09:00:01.221930 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" id 16 09:00:01.221986 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 17 09:00:01.222516 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.223070 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.223623 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 09:00:01.224278 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 09:00:01.224817 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" with ? matched 09:00:01.225363 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "\nCurrent configuration:\n!\npassword foo\n!\nlog stderr\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 1\n logging print thread-id 0\n logging print extended-timestamp 1\n logging print file 1\n logging level rll notice\n logging level mm notice\n logging level rr notice\n logging level rsl notice\n logging level nm notice\n logging level pag notice\n logging level meas notice\n logging level msc notice\n logging level ho notice\n logging level hodec notice\n logging level ref notice\n logging level ctrl notice\n logging level filter debug\n logging level pcu debug\n logging level lcls notice\n logging level chan notice\n logging level ts notice\n logging level as notice\n logging level cbs notice\n logging level lcs notice\n logging level asci notice\n logging level reset notice\n logging level loop notice\n logging level lglobal notice\n logging level llapd notice\n logging level linp notice\n logging level lmux notice\n logging level lmi notice\n logging level lmib notice\n logging level lsms notice\n logging level lctrl notice\n logging level lgtp notice\n logging level lstats notice\n logging level lgsup notice\n logging level loap notice\n logging level lss7 notice\n logging level lsccp notice\n logging level lsua notice\n logging level lm3ua notice\n logging level lmgcp notice\n logging level ljibuf notice\n logging level lrspro notice\n logging level lns notice\n logging level lbssgp notice\n logging level lnsdata notice\n logging level lnssignal notice\n logging level liuup notice\n logging level lpfcp notice\n logging level lcsn1 notice\n logging level lio notice\nlog gsmtap 172.18.128.203\n logging filter all 1\n logging color 1\n logging print category-hex 1\n logging print category 0\n logging print thread-id 0\n logging timestamp 0\n logging print file 1\n logging level rll debug\n logging level mm debug\n logging level rr debug\n logging level rsl debug\n logging level nm debug\n logging level pag debug\n logging level meas debug\n logging level msc debug\n logging level ho debug\n logging level hodec debug\n logging level ref debug\n logging level ctrl debug\n logging level filter debug\n logging level pcu debug\n logging level lcls debug\n logging level chan debug\n logging level ts debug\n logging level as debug\n logging level cbs debug\n logging level lcs debug\n logging level asci debug\n logging level reset debug\n logging level loop debug\n logging level lglobal debug\n logging level llapd debug\n logging level linp debug\n logging level lmux debug\n logging level lmi debug\n logging level lmib debug\n logging level lsms debug\n logging level lctrl debug\n logging level lgtp debug\n logging level lstats debug\n logging level lgsup debug\n logging level loap debug\n logging level lss7 debug\n logging level lsccp debug\n logging level lsua debug\n logging level lm3ua debug\n logging level lmgcp debug\n logging level ljibuf debug\n logging level lrspro debug\n logging level lns debug\n logging level lbssgp debug\n logging level lnsdata debug\n logging level lnssignal debug\n logging level liuup debug\n logging level lpfcp debug\n logging level lcsn1 debug\n logging level lio debug\n!\nstats interval 0\nstats reporter statsd\n remote-ip 172.18.128.203\n remote-port 8125\n mtu 1024\n level subscriber\n prefix TTCN3\n flush-period 1\n enable\n!\nline vty\n no login\n bind 0.0.0.0\n!\ne1_input\n e1_line 0 driver ipa\n e1_line 0 port 0\n no e1_line 0 keepalive\nctrl\n bind 0.0.0.0\ncs7 instance 0\n point-code 0.23.3\n asp asp-clnt-msc-0 2905 2905 m3ua\n local-ip 172.18.128.20\n local-ip fd02:db8:128::20\n remote-ip 172.18.128.200\n remote-ip fd02:db8:128::200\n role asp\n sctp-role client\n sccp-address msc2\n routing-indicator PC\n point-code 0.0.2\n sccp-address msc3\n routing-indicator PC\n point-code 0.0.3\nnetwork\n network country code 001\n mobile network code 01\n encryption a5 0 1 3\n neci 1\n paging any use tch 0\n handover 1\n handover1 window rxlev averaging 10\n handover1 window rxqual averaging 1\n handover1 window rxlev neighbor averaging 10\n handover1 power budget interval 6\n handover1 power budget hysteresis 3\n handover1 maximum distance 9999\n timer net T3113 10\n timer net T3212 30\n nri null add 0 1\n mgw 0\n remote-ip 172.18.128.203\n bts 0\n type osmo-bts\n band DCS1800\n cell_identity 0\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 10\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n access-control-class-rotate-quantum 1\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1234 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 4 7\n amr tch-f threshold ms 32 32 32\n amr tch-f hysteresis ms 8 8 8\n amr tch-f threshold bts 32 32 32\n amr tch-f hysteresis bts 8 8 8\n amr tch-f start-mode auto\n amr tch-h modes 0 2 4\n amr tch-h threshold ms 32 32\n amr tch-h hysteresis ms 8 8\n amr tch-h threshold bts 32 32\n amr tch-h hysteresis bts 8 8\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1234\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1234\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1234\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 1\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0001\n dtx uplink force\n dtx downlink\n base_station_id_code 11\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1235 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1235\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1235\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1235\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 2\n type osmo-bts\n band DCS1800\n cell_identity 1\n location_area_code 0x0002\n dtx uplink force\n dtx downlink\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 1236 0\n oml ipa stream-id 255 line 0\n neighbor-list mode manual-si5\n neighbor-list add arfcn 100\n neighbor-list add arfcn 200\n si5 neighbor-list add arfcn 10\n si5 neighbor-list add arfcn 20\n si2quater neighbor-list add earfcn 111 thresh-hi 20 thresh-lo 10 prio 3 qrxlv 22 meas 3\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode gprs\n gprs routing area 0\n gprs network-control-order nc0\n gprs power-control alpha 0\n gprs cell bvci 1236\n gprs cell timer blocking-timer 3\n gprs cell timer blocking-retries 3\n gprs cell timer unblocking-retries 3\n gprs cell timer reset-timer 3\n gprs cell timer reset-retries 3\n gprs cell timer suspend-timer 10\n gprs cell timer suspend-retries 3\n gprs cell timer resume-timer 10\n gprs cell timer resume-retries 3\n gprs cell timer capability-update-timer 10\n gprs cell timer capability-update-retries 3\n gprs nsei 1236\n gprs ns timer tns-block 3\n gprs ns timer tns-block-retries 3\n gprs ns timer tns-reset 3\n gprs ns timer tns-reset-retries 3\n gprs ns timer tns-test 30\n gprs ns timer tns-alive 3\n gprs ns timer tns-alive-retries 10\n gprs nsvc 0 nsvci 1236\n gprs nsvc 0 local udp port 23000\n gprs nsvc 0 remote ip 192.168.100.239\n gprs nsvc 0 remote udp port 23000\n gprs nsvc 1 nsvci 0\n gprs nsvc 1 local udp port 0\n gprs nsvc 1 remote ip 0.0.0.0\n gprs nsvc 1 remote udp port 0\n no force-combined-si\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4+CBCH\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 1\n rf_locked 0\n arfcn 873\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 2\n rf_locked 0\n arfcn 875\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n trx 3\n rf_locked 0\n arfcn 877\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\n bts 3\n type osmo-bts\n band DCS1800\n cell_identity 3\n location_area_code 0x0003\n base_station_id_code 12\n ms max power 15\n cell reselection hysteresis 4\n rxlev access min 0\n radio-link-timeout 32\n channel allocator mode chan-req ascending\n channel allocator mode assignment ascending\n channel allocator mode handover ascending\n channel allocator mode vgcs-vbs ascending\n rach tx integer 9\n rach max transmission 7\n rach max-delay 63\n rach expiry-timeout 32\n channel-description attach 1\n channel-description bs-pa-mfrms 5\n channel-description bs-ag-blks-res 1\n no nch-position\n no access-control-class-ramping\n early-classmark-sending forbidden\n early-classmark-sending-3g allowed\n ipa unit-id 0 0\n oml ipa stream-id 255 line 0\n neighbor-list mode automatic\n codec-support fr hr efr amr\n amr tch-f modes 0 2 5 7\n amr tch-f threshold ms 13 25 37\n amr tch-f hysteresis ms 4 4 4\n amr tch-f threshold bts 13 25 37\n amr tch-f hysteresis bts 4 4 4\n amr tch-f start-mode auto\n amr tch-h modes 0 2 3 5\n amr tch-h threshold ms 16 24 32\n amr tch-h hysteresis ms 4 4 4\n amr tch-h threshold bts 16 24 32\n amr tch-h hysteresis bts 4 4 4\n amr tch-h start-mode auto\n gprs mode none\n bs-power-control\n mode static\n ms-power-control\n mode dyn-bts\n ctrl-interval 2\n step-size inc 4 red 2\n rxlev-thresh lower 32 upper 38\n rxlev-thresh-comp lower 10 12 upper 19 20\n rxqual-thresh lower 3 upper 0\n rxqual-thresh-comp lower 5 7 upper 15 18\n ci-thresh fr-efr disable\n ci-thresh fr-efr lower 13 upper 17\n ci-thresh-comp fr-efr lower 5 7 upper 15 18\n ci-thresh hr disable\n ci-thresh hr lower 16 upper 21\n ci-thresh-comp hr lower 5 7 upper 15 18\n ci-thresh amr-fr disable\n ci-thresh amr-fr lower 7 upper 11\n ci-thresh-comp amr-fr lower 5 7 upper 15 18\n ci-thresh amr-hr disable\n ci-thresh amr-hr lower 13 upper 17\n ci-thresh-comp amr-hr lower 5 7 upper 15 18\n ci-thresh sdcch disable\n ci-thresh sdcch lower 12 upper 16\n ci-thresh-comp sdcch lower 5 7 upper 15 18\n ci-thresh gprs disable\n ci-thresh gprs lower 18 upper 24\n ci-thresh-comp gprs lower 5 7 upper 15 18\n trx 0\n rf_locked 0\n arfcn 871\n nominal power 23\n max_power_red 20\n rsl e1 tei 0\n timeslot 0\n phys_chan_config CCCH+SDCCH4\n hopping enabled 0\n timeslot 1\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 2\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 3\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 4\n phys_chan_config TCH/F\n hopping enabled 0\n timeslot 5\n phys_chan_config TCH/H\n hopping enabled 0\n timeslot 6\n phys_chan_config PDCH\n hopping enabled 0\n timeslot 7\n phys_chan_config PDCH\n hopping enabled 0\nmsc 0\n codec-list fr1 fr2 fr3 hr1 hr3\n allow-emergency allow\n amr-config 12_2k forbidden\n amr-config 10_2k forbidden\n amr-config 7_95k forbidden\n amr-config 7_40k forbidden\n amr-config 6_70k forbidden\n amr-config 5_90k allowed\n amr-config 5_15k forbidden\n amr-config 4_75k forbidden\n amr-payload octet-aligned\n asp-protocol m3ua\n lcls-mode mgw-loop\n lcls-codec-mismatch forbidden\n nri add 1 255\nmsc 1\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc2\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 256 511\n no allow-attach\nmsc 2\n codec-list fr1 hr1 fr2 fr3 hr3\n allow-emergency deny\n amr-config 12_2k allowed\n amr-config 10_2k allowed\n amr-config 7_95k allowed\n amr-config 7_40k allowed\n amr-config 6_70k allowed\n amr-config 5_90k allowed\n amr-config 5_15k allowed\n amr-config 4_75k allowed\n amr-payload octet-aligned\n msc-addr msc3\n asp-protocol m3ua\n lcls-mode disabled\n lcls-codec-mismatch forbidden\n nri add 512 767\n no allow-attach\nbsc\n mid-call-timeout 0\ncbc\n mode disabled\n server\n local-ip 172.18.128.20\n local-port 48050\n client\n remote-ip 172.18.128.203\nsmlc\n enable\nend" id 16 09:00:01.225413 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 16 was extracted from the queue of BSCVTY. 09:00:01.225426 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.225439 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.225444 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 17 09:00:01.225449 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 17 was extracted from the queue of BSCVTY. 09:00:01.225454 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.225466 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:00:01.225483 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.225553 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 18 09:00:01.225566 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.225577 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.225593 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.225599 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 18 09:00:01.225604 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 18 was extracted from the queue of BSCVTY. 09:00:01.225610 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.225615 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 0" 09:00:01.225625 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.225663 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 19 09:00:01.225675 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.225685 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.225699 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.225704 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 19 09:00:01.225709 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 19 was extracted from the queue of BSCVTY. 09:00:01.225714 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.225719 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "allow-attach" 09:00:01.225727 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.225768 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 20 09:00:01.225778 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.225788 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.225804 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.225809 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 20 09:00:01.225814 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 20 was extracted from the queue of BSCVTY. 09:00:01.225819 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.225824 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.225832 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.225857 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 21 09:00:01.225867 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.225876 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.225889 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.225894 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 21 09:00:01.225899 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 21 was extracted from the queue of BSCVTY. 09:00:01.225904 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.225908 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.225915 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.225936 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 22 09:00:01.225946 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.225957 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.225962 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 22 09:00:01.225967 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 22 was extracted from the queue of BSCVTY. 09:00:01.225971 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.225978 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:00:01.225986 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226015 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 23 09:00:01.226025 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226035 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226048 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226054 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 23 09:00:01.226058 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 23 was extracted from the queue of BSCVTY. 09:00:01.226064 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226068 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 1" 09:00:01.226076 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226100 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 24 09:00:01.226110 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226122 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226134 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226140 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 24 09:00:01.226144 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 24 was extracted from the queue of BSCVTY. 09:00:01.226149 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226155 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 09:00:01.226163 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226189 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 25 09:00:01.226200 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226209 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226221 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226226 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 25 09:00:01.226231 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 25 was extracted from the queue of BSCVTY. 09:00:01.226235 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226240 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.226247 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226269 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 26 09:00:01.226279 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226288 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226307 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226312 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 26 09:00:01.226317 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 26 was extracted from the queue of BSCVTY. 09:00:01.226322 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226326 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.226334 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226377 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 27 09:00:01.226416 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226434 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.226441 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 27 09:00:01.226447 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 27 was extracted from the queue of BSCVTY. 09:00:01.226453 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226463 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:00:01.226483 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226521 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 28 09:00:01.226539 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226551 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226567 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226574 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 28 09:00:01.226579 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 28 was extracted from the queue of BSCVTY. 09:00:01.226585 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226590 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "msc 2" 09:00:01.226601 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226624 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 29 09:00:01.226636 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226646 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226660 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226666 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 29 09:00:01.226671 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 29 was extracted from the queue of BSCVTY. 09:00:01.226677 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226682 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "no allow-attach" 09:00:01.226690 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226710 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-msc)# " id 30 09:00:01.226721 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226731 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-msc)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226745 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-msc)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226750 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-msc)# " id 30 09:00:01.226755 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 30 was extracted from the queue of BSCVTY. 09:00:01.226760 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226764 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.226772 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226810 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 31 09:00:01.226820 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226830 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:01.226843 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:01.226848 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 31 09:00:01.226853 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 31 was extracted from the queue of BSCVTY. 09:00:01.226861 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226865 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "exit" 09:00:01.226872 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:01.226888 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 32 09:00:01.226898 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:01.226909 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:01.226914 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 32 09:00:01.226919 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 32 was extracted from the queue of BSCVTY. 09:00:01.226923 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:01.226930 mtc Osmocom_CTRL_Adapter.ttcn:69 Creating new PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 09:00:01.230302 1587 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.230364 1587 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: IPA-CTRL-CLI-IPA(1587), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. Version: 9.0.0. 09:00:01.230375 1587 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.230401 1587 - Connected to MC. 09:00:01.230408 1587 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_common_id. 09:00:01.230443 mtc Osmocom_CTRL_Adapter.ttcn:69 PTC was created. Component reference: 1587, alive: no, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-CTRL-CLI-IPA. 09:00:01.230457 mtc Osmocom_CTRL_Adapter.ttcn:71 Mapping port IPA-CTRL-CLI-IPA(1587):IPA_PORT to system:IPA_CODEC_PT. 09:00:01.231649 1587 - Port IPA_PORT was started. 09:00:01.231662 1587 - Port CFG_PORT was started. 09:00:01.231666 1587 - Port MTP3_SP_PORT was started. 09:00:01.231669 1587 - Port IPA_MGCP_PORT was started. 09:00:01.231672 1587 - Port IPA_RSL_PORT was started. 09:00:01.231675 1587 - Port IPA_OML_PORT was started. 09:00:01.231678 1587 - Port IPA_CTRL_PORT was started. 09:00:01.231681 1587 - Port IPA_SP_PORT was started. 09:00:01.231685 1587 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 09:00:01.231711 1587 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 09:00:01.231732 mtc Osmocom_CTRL_Adapter.ttcn:71 Map operation of IPA-CTRL-CLI-IPA(1587):IPA_PORT to system:IPA_CODEC_PT finished. 09:00:01.231739 mtc Osmocom_CTRL_Adapter.ttcn:72 Connecting ports IPA-CTRL-CLI-IPA(1587):IPA_CTRL_PORT and mtc:IPA_CTRL. 09:00:01.231796 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL is waiting for connection from IPA-CTRL-CLI-IPA(1587):IPA_CTRL_PORT on UNIX pathname /tmp/ttcn3-portconn-9e14f21f. 09:00:01.231815 1587 - Port IPA_CTRL_PORT has established the connection with mtc:IPA_CTRL using transport type UNIX. 09:00:01.231831 mtc Osmocom_CTRL_Adapter.ttcn:72 Port IPA_CTRL has accepted the connection from IPA-CTRL-CLI-IPA(1587):IPA_CTRL_PORT. 09:00:01.231839 mtc Osmocom_CTRL_Adapter.ttcn:72 Connect operation on IPA-CTRL-CLI-IPA(1587):IPA_CTRL_PORT and mtc:IPA_CTRL finished. 09:00:01.231844 mtc Osmocom_CTRL_Adapter.ttcn:74 Starting function main_client("172.18.128.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false) on component IPA-CTRL-CLI-IPA(1587). 09:00:01.231868 mtc Osmocom_CTRL_Adapter.ttcn:74 Function was started. 09:00:01.231873 mtc Osmocom_CTRL_Adapter.ttcn:37 Start timer T: 10 s 09:00:01.231978 1587 - Starting function main_client("172.18.128.20", 4249, "", 0, { ser_nr := "", name := "mahlzeit", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "0/1/2", osmo_rand := "" }, false). 09:00:01.232161 1587 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :0 -> 172.18.128.20:4249 / TCP 09:00:01.233243 1587 IPA_Emulation.ttcnpp:388 Sent on IPA_CTRL_PORT to mtc @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 09:00:01.233313 mtc Osmocom_CTRL_Adapter.ttcn:38 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1587) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:00:01.233324 mtc Osmocom_CTRL_Adapter.ttcn:39 Matching on port IPA_CTRL succeeded: matched 09:00:01.233330 mtc Osmocom_CTRL_Adapter.ttcn:39 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1587): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:00:01.233335 mtc Osmocom_CTRL_Adapter.ttcn:39 Message with id 1 was extracted from the queue of IPA_CTRL. 09:00:01.233345 mtc BSC_Tests.ttcn:1103 Creating new alive PTC with component type MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 09:00:01.236979 1588 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:01.237035 1588 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: VirtMGW-MGCP-0(1588), component type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. Version: 9.0.0. 09:00:01.237048 1588 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:01.237075 1588 - Connected to MC. 09:00:01.237083 1588 - Initializing variables, timers and ports of component type MGCP_Emulation.MGCP_Emulation_CT inside testcase TC_common_id. 09:00:01.237122 mtc BSC_Tests.ttcn:1103 PTC was created. Component reference: 1588, alive: yes, type: MGCP_Emulation.MGCP_Emulation_CT, component name: VirtMGW-MGCP-0. 09:00:01.237147 mtc BSC_Tests.ttcn:1104 Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.128.20", callagent_udp_port := -1, mgw_ip := "172.18.128.203", mgw_udp_port := 2427, multi_conn_mode := false }, "VirtMGW-MGCP-0") on component VirtMGW-MGCP-0(1588). 09:00:01.237198 mtc BSC_Tests.ttcn:1104 Function was started. 09:00:01.237207 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 09:00:01.237219 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.916372. 09:00:01.237241 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "916372211", variable := "bts.0.oml-connection-state", val := omit } } 09:00:01.237260 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 09:00:01.237363 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "916372211", variable := "bts.0.oml-connection-state", val := omit } } id 1 09:00:01.237450 1587 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 09:00:01.237471 1587 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "916372211", variable := "bts.0.oml-connection-state", val := omit } } id 1 09:00:01.237486 1587 IPA_Emulation.ttcnpp:879 Message with id 1 was extracted from the queue of IPA_CTRL_PORT. 09:00:01.237505 1587 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "916372211", variable := "bts.0.oml-connection-state", val := omit } } 09:00:01.237585 1587 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 916372211 bts.0.oml-connection-state" 09:00:01.237980 1587 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 916372211 bts.0.oml-connection-state") } 09:00:01.238066 1587 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 916372211 bts.0.oml-connection-state") } 09:00:01.238192 1587 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 09:00:01.238242 1587 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 09:00:01.238319 1588 - Port MGCP was started. 09:00:01.238358 1588 - Port MGCP_CLIENT was started. 09:00:01.238362 1588 - Port MGCP_CLIENT_MULTI was started. 09:00:01.238366 1588 - Port MGCP_PROC was started. 09:00:01.238369 1588 - Component type MGCP_Emulation.MGCP_Emulation_CT was initialized. 09:00:01.238429 1588 - Starting function main({ create_cb := refers(MGCP_Emulation.ExpectedCreateCallback), unitdata_cb := refers(MGCP_Emulation.DummyUnitdataCallback) }, { callagent_ip := "172.18.128.20", callagent_udp_port := -1, mgw_ip := "172.18.128.203", mgw_udp_port := 2427, multi_conn_mode := false }, "VirtMGW-MGCP-0"). 09:00:01.238627 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 4249, locName := "172.18.128.203", locPort := 33377, proto := { tcp := { } }, userData := 0, msg := '0038EE004745545F5245504C5920393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 1 09:00:01.238648 1588 MGCP_Emulation.ttcn:268 Mapping port VirtMGW-MGCP-0(1588):MGCP to system:MGCP_CODEC_PT. 09:00:01.238664 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0038EE004745545F5245504C5920393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 09:00:01.238695 1588 MGCP_Emulation.ttcn:268 Port MGCP was mapped to system:MGCP_CODEC_PT. 09:00:01.238726 1588 MGCP_Emulation.ttcn:268 Map operation of VirtMGW-MGCP-0(1588):MGCP to system:MGCP_CODEC_PT finished. 09:00:01.238772 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 56, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 916372211 bts.0.oml-connection-state degraded") } 09:00:01.238795 1587 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 916372211 bts.0.oml-connection-state degraded") } id 1 09:00:01.238843 1587 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:01.238857 1588 MGCP_Emulation.ttcn:270 entering f__IPL4__PROVIDER__listen: 172.18.128.203:2427 / UDP 09:00:01.238858 1587 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393136333732323131206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 916372211 bts.0.oml-connection-state degraded") } id 1 09:00:01.238866 1587 IPA_Emulation.ttcnpp:753 Message with id 1 was extracted from the queue of IPA_PORT. 09:00:01.238884 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 916372211 bts.0.oml-connection-state degraded" 09:00:01.238934 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 916372211 bts.0.oml-connection-state degraded 09:00:01.238942 1587 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:00:01.238947 1587 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:00:01.238994 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 916372211 bts.0.oml-connection-state degraded 09:00:01.238999 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:00:01.239008 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:01.239012 1587 IPA_Emulation.ttcnpp:627 match_begin result: 3 09:00:01.239016 1587 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 916372211 bts.0.oml-connection-state degraded 09:00:01.239019 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:01.239023 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:01.239053 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 916372211 bts.0.oml-connection-state degraded 09:00:01.239058 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:00:01.239063 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:01.239067 1587 IPA_Emulation.ttcnpp:627 match_begin result: 9 09:00:01.239071 1587 IPA_Emulation.ttcnpp:627 match_begin data: 916372211 bts.0.oml-connection-state degraded 09:00:01.239074 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:01.239078 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:01.239084 1587 IPA_Emulation.ttcnpp:627 match_first data: 916372211 bts.0.oml-connection-state degraded 09:00:01.239088 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:01.239091 1587 IPA_Emulation.ttcnpp:627 match_first result: 9 09:00:01.239095 1587 IPA_Emulation.ttcnpp:627 match_list data: 916372211 bts.0.oml-connection-state degraded 09:00:01.239098 1587 IPA_Emulation.ttcnpp:627 match_list result: 9 09:00:01.239102 1587 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 09:00:01.239105 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:01.239109 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:01.239113 1587 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 09:00:01.239120 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:01.239123 1587 IPA_Emulation.ttcnpp:627 match_first result: 26 09:00:01.239127 1587 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 09:00:01.239130 1587 IPA_Emulation.ttcnpp:627 match_list result: 26 09:00:01.239133 1587 IPA_Emulation.ttcnpp:627 match_begin data: degraded 09:00:01.239137 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:01.239140 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:01.239144 1587 IPA_Emulation.ttcnpp:627 match_first data: degraded 09:00:01.239147 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:01.239150 1587 IPA_Emulation.ttcnpp:627 match_first result: -1 09:00:01.239154 1587 IPA_Emulation.ttcnpp:627 match_list data: degraded 09:00:01.239157 1587 IPA_Emulation.ttcnpp:627 match_list result: -1 09:00:01.239174 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "916372211", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:00:01.239201 1587 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "916372211", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:00:01.239245 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "916372211", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 09:00:01.239275 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 09:00:01.239284 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1587): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "916372211", variable := "bts.0.oml-connection-state", val := "degraded" } } id 2 09:00:01.239291 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 2 was extracted from the queue of IPA_CTRL. 09:00:01.239298 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 09:00:01.239305 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 09:00:01.414713 1582 Osmocom_Types.ttcn:119 Message enqueued on BSSAP from VirtMSC-SCCP(1581) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000430040120'O, importance := omit } id 1 09:00:01.414868 1582 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000430040120'O 09:00:01.417264 1582 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:00:01.417447 1582 Osmocom_Types.ttcn:119 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 09:00:01.421557 1586 M3UA_Emulation.ttcn:560 Start timer T_Timer: 2 s 09:00:01.421708 1586 M3UA_Emulation.ttcn:561 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP_RESULT : { client_id := 8, error_status := false, error_message := omit } id 1 09:00:01.421799 1586 M3UA_Emulation.ttcn:562 Matching on port SCTP_PORT succeeded: matched 09:00:01.421818 1586 M3UA_Emulation.ttcn:562 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP_RESULT : { client_id := 8, error_status := false, error_message := omit } id 1 09:00:01.421834 1586 M3UA_Emulation.ttcn:562 Message with id 1 was extracted from the queue of SCTP_PORT. 09:00:01.421841 1586 M3UA_Emulation.ttcn:569 SCTP_ConnectResult -> connection established from: "172.18.128.203":23908 to server: "172.18.128.200":2905 association #8 09:00:01.421873 1586 M3UA_Emulation.ttcn:579 Stop timer T_Timer: 2 s 09:00:01.421879 1586 M3UA_Emulation.ttcn:537 Start timer T_Assoc_restart: 60 s 09:00:01.421920 1586 M3UA_Emulation.ttcn:542 Start timer T_ASPUP_resend: 2 s 09:00:01.421925 1586 M3UA_Emulation.ttcn:543 Start timer T_ASPAC_resend: 2 s 09:00:01.422095 1586 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE : { client_id := 8, sac_state := SCTP_COMM_UP (0) } id 2 09:00:01.422139 1586 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT succeeded: matched 09:00:01.422151 1586 M3UA_Emulation.ttcn:668 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE : { client_id := 8, sac_state := SCTP_COMM_UP (0) } id 2 09:00:01.422159 1586 M3UA_Emulation.ttcn:668 Message with id 2 was extracted from the queue of SCTP_PORT. 09:00:01.422441 1586 M3UA_Emulation.ttcn:959 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_ASPUP := { version := '01'O, reserved := '00'O, messageClassAndType := '0301'O, messageLength := 0, messageParameters := { aSP_Identifier := omit, info_String := omit } } } 09:00:01.422598 1586 M3UA_Emulation.ttcn:959 enc_PDU_M3UA(): Stream after encoding: '0100030100000008'O 09:00:01.422669 1586 M3UA_Emulation.ttcn:959 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030100000008'O } 09:00:01.422871 1586 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030400000008'O } id 3 09:00:01.422901 1586 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:00:01.422918 1586 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:00:01.422924 1586 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100030400000008'O } id 3 09:00:01.422929 1586 M3UA_Emulation.ttcn:711 Message with id 3 was extracted from the queue of SCTP_PORT. 09:00:01.422959 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100030400000008'O 09:00:01.423060 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_ASPUP_Ack := { version := '01'O, reserved := '00'O, messageClassAndType := '0304'O, messageLength := 8, messageParameters := { info_String := omit } } } 09:00:01.423283 1586 M3UA_Emulation.ttcn:1189 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_ASPAC := { version := '01'O, reserved := '00'O, messageClassAndType := '0401'O, messageLength := 0, messageParameters := { traffic_Mode_Type := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, info_String := omit } } } 09:00:01.423349 1586 M3UA_Emulation.ttcn:1189 enc_PDU_M3UA(): Stream after encoding: '01000401000000100006000800000006'O 09:00:01.423359 1586 M3UA_Emulation.ttcn:1189 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000401000000100006000800000006'O } 09:00:01.423398 1586 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100020006000800000006'O } id 4 09:00:01.423404 1586 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:00:01.423416 1586 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:00:01.423422 1586 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100020006000800000006'O } id 4 09:00:01.423427 1586 M3UA_Emulation.ttcn:711 Message with id 4 was extracted from the queue of SCTP_PORT. 09:00:01.423431 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100000100000018000D0008000100020006000800000006'O 09:00:01.423518 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_NOTIFY := { version := '01'O, reserved := '00'O, messageClassAndType := '0001'O, messageLength := 24, messageParameters := { status := { tag := '000D'O, lengthInd := 8, statusType := '0001'O, statusInfo := '0002'O }, aSP_Identifier := { tag := '0006'O, lengthInd := 8, aSPIdentifier := '00000006'O }, routing_Context := omit, info_String := omit } } } 09:00:01.423571 1586 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000403000000100006000800000006'O } id 5 09:00:01.423576 1586 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:00:01.423580 1586 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:00:01.423585 1586 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '01000403000000100006000800000006'O } id 5 09:00:01.423590 1586 M3UA_Emulation.ttcn:711 Message with id 5 was extracted from the queue of SCTP_PORT. 09:00:01.423595 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '01000403000000100006000800000006'O 09:00:01.423631 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_ASPAC_Ack := { version := '01'O, reserved := '00'O, messageClassAndType := '0403'O, messageLength := 16, messageParameters := { traffic_Mode_Type := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, info_String := omit } } } 09:00:01.423696 1586 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100030006000800000006'O } id 6 09:00:01.423704 1586 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:00:01.423708 1586 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:00:01.423713 1586 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100000100000018000D0008000100030006000800000006'O } id 6 09:00:01.423717 1586 M3UA_Emulation.ttcn:711 Message with id 6 was extracted from the queue of SCTP_PORT. 09:00:01.423721 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '0100000100000018000D0008000100030006000800000006'O 09:00:01.423729 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_NOTIFY := { version := '01'O, reserved := '00'O, messageClassAndType := '0001'O, messageLength := 24, messageParameters := { status := { tag := '000D'O, lengthInd := 8, statusType := '0001'O, statusInfo := '0003'O }, aSP_Identifier := { tag := '0006'O, lengthInd := 8, aSPIdentifier := '00000006'O }, routing_Context := omit, info_String := omit } } } 09:00:02.210295 1582 Osmocom_Types.ttcn:119 Timeout T: 1 s 09:00:02.211213 1582 RAN_Emulation.ttcnpp:537 Sent on BSSAP to VirtMSC-SCCP(1581) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 09:00:02.211277 1582 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:00:02.211502 1582 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000430040100'O 09:00:02.211538 1582 RAN_Emulation.ttcnpp:537 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 09:00:02.211807 1582 RAN_Emulation.ttcnpp:538 Start timer T: 5 s 09:00:02.212221 1582 RAN_Emulation.ttcnpp:540 Matching on port BSSAP .userData.pdu.bssmap{ reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } with { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := *, osmuxSupport := omit } } unmatched: First message in the queue does not match the template: 09:00:02.212329 1582 RAN_Emulation.ttcnpp:822 Matching on port BSSAP succeeded: matched 09:00:02.212361 1582 RAN_Emulation.ttcnpp:822 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1581): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 4, pdu := { bssmap := { reset := { messageType := '30'O ("0"), cause := { elementIdentifier := '04'O, lengthIndicator := 1, causeValue := '0100000'B, extensionCauseValue := '0'B, spare1 := omit }, a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 1 09:00:02.212385 1582 RAN_Emulation.ttcnpp:822 Message with id 1 was extracted from the queue of BSSAP. 09:00:02.212407 1582 RAN_Emulation.ttcnpp:823 BSSMAP: Responding to inbound RESET with RESET-ACK 09:00:02.212477 1582 RAN_Emulation.ttcnpp:824 Sent on BSSAP to VirtMSC-SCCP(1581) @BSSAP_CodecPort.BSSAP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } 09:00:02.212489 1582 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:00:02.212509 1582 BSSAP_CodecPort.ttcn:349 enc_PDU_BSSAP(): Stream after encoding: '000131'O 09:00:02.212522 1582 RAN_Emulation.ttcnpp:824 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000131'O, importance := omit } 09:00:02.214636 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 4249, locName := "172.18.128.203", locPort := 33377, proto := { tcp := { } }, userData := 0, msg := '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 2 09:00:02.214656 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0029EE00545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 09:00:02.214678 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 41, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } 09:00:02.214702 1587 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 09:00:02.214719 1587 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.214731 1587 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73632E302E636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc.0.connection_status connected") } id 2 09:00:02.214740 1587 IPA_Emulation.ttcnpp:753 Message with id 2 was extracted from the queue of IPA_PORT. 09:00:02.214752 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc.0.connection_status connected" 09:00:02.214764 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:00:02.214770 1587 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:00:02.214776 1587 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:00:02.214788 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:00:02.214793 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:00:02.214802 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:00:02.214808 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.214816 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:00:02.214821 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:00:02.214822 1582 RAN_Emulation.ttcnpp:539 Message enqueued on BSSAP from VirtMSC-SCCP(1581) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 2 09:00:02.214829 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:00:02.214835 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.214852 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:00:02.214863 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 09:00:02.214867 1582 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Stream before decoding: '000131'O 09:00:02.214869 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:00:02.214875 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.214905 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc.0.connection_status connected 09:00:02.214912 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 09:00:02.214919 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:02.214926 1587 IPA_Emulation.ttcnpp:627 match_begin result: 7 09:00:02.214932 1587 IPA_Emulation.ttcnpp:627 match_first data: msc.0.connection_status connected 09:00:02.214937 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.214943 1587 IPA_Emulation.ttcnpp:627 match_first result: 23 09:00:02.214948 1587 IPA_Emulation.ttcnpp:627 match_list data: msc.0.connection_status connected 09:00:02.214953 1587 IPA_Emulation.ttcnpp:627 match_list result: 23 09:00:02.214959 1587 IPA_Emulation.ttcnpp:627 match_begin data: connected 09:00:02.214965 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.214970 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.214976 1587 IPA_Emulation.ttcnpp:627 match_first data: connected 09:00:02.214981 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.214987 1587 IPA_Emulation.ttcnpp:627 match_first result: -1 09:00:02.214992 1587 IPA_Emulation.ttcnpp:627 match_list data: connected 09:00:02.214999 1587 IPA_Emulation.ttcnpp:627 match_list result: -1 09:00:02.215004 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc.0.connection_status", val := "connected" } } 09:00:02.215020 1587 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } 09:00:02.215042 1582 BSSAP_CodecPort.ttcn:281 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } } 09:00:02.215056 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 09:00:02.215069 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 4249, locName := "172.18.128.203", locPort := 33377, proto := { tcp := { } }, userData := 0, msg := '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O } id 3 09:00:02.215070 1582 RAN_Emulation.ttcnpp:539 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 2 09:00:02.215079 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0027EE00545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O 09:00:02.215091 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 39, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } 09:00:02.215106 1587 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 09:00:02.215115 1587 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.215121 1582 RAN_Emulation.ttcnpp:540 Matching on port BSSAP succeeded: matched 09:00:02.215124 1587 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '545241502030206D73635F636F6E6E656374696F6E5F73746174757320636F6E6E6563746564'O ("TRAP 0 msc_connection_status connected") } id 3 09:00:02.215132 1587 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 09:00:02.215135 1582 RAN_Emulation.ttcnpp:540 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1581): @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { resetAck := { messageType := '31'O ("1"), a_InterfaceSelectorForReset := omit, osmuxSupport := omit } } } }, importance := omit } id 2 09:00:02.215139 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "TRAP 0 msc_connection_status connected" 09:00:02.215148 1582 RAN_Emulation.ttcnpp:540 Message with id 2 was extracted from the queue of BSSAP. 09:00:02.215148 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:00:02.215153 1587 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:00:02.215159 1582 RAN_Emulation.ttcnpp:541 BSSMAP: Received RESET-ACK in response to RESET, we're ready to go! 09:00:02.215159 1587 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:00:02.215166 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:00:02.215171 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:00:02.215182 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:00:02.215187 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.215193 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:00:02.215198 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:00:02.215206 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:00:02.215211 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.215217 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:00:02.215222 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(ERROR )" 09:00:02.215229 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 1, No match 09:00:02.215234 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.215241 1587 IPA_Emulation.ttcnpp:627 match_begin data: TRAP 0 msc_connection_status connected 09:00:02.215247 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(TRAP 0 )" 09:00:02.215253 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:02.215259 1587 IPA_Emulation.ttcnpp:627 match_begin result: 7 09:00:02.215264 1587 IPA_Emulation.ttcnpp:627 match_first data: msc_connection_status connected 09:00:02.215269 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.215275 1587 IPA_Emulation.ttcnpp:627 match_first result: 21 09:00:02.215280 1587 IPA_Emulation.ttcnpp:627 match_list data: msc_connection_status connected 09:00:02.215286 1587 IPA_Emulation.ttcnpp:627 match_list result: 21 09:00:02.215291 1587 IPA_Emulation.ttcnpp:627 match_begin data: connected 09:00:02.215296 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.215302 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.215307 1587 IPA_Emulation.ttcnpp:627 match_first data: connected 09:00:02.215312 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.215317 1587 IPA_Emulation.ttcnpp:627 match_first result: -1 09:00:02.215323 1587 IPA_Emulation.ttcnpp:627 match_list data: connected 09:00:02.215328 1587 IPA_Emulation.ttcnpp:627 match_list result: -1 09:00:02.215333 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { trap := { variable := "msc_connection_status", val := "connected" } } 09:00:02.215343 1587 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } 09:00:02.215358 mtc BSC_Tests.ttcn:1053 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 09:00:02.221537 1585 Osmocom_Types.ttcn:119 Timeout T: 1 s 09:00:02.222274 1585 BSSAP_LE_Emulation.ttcn:314 Sent on BSSAP_LE to VirtSMLC-SCCP(1584) @BSSAP_LE_CodecPort.BSSAP_LE_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { msg_type := BSSMAP_LE_RESET (48), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit } } } } }, importance := omit } 09:00:02.222383 1585 BSSAP_LE_CodecPort.ttcn:339 enc_PDU_BSSAP_LE(): Encoding @BSSAP_LE_Types.PDU_BSSAP_LE: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { reset := { msg_type := BSSMAP_LE_RESET (48), cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit } } } } } 09:00:02.222562 1585 BSSAP_LE_CodecPort.ttcn:339 enc_PDU_BSSAP_LE(): Stream after encoding: '000430040100'O 09:00:02.222619 1585 BSSAP_LE_Emulation.ttcn:314 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } 09:00:02.222806 1585 BSSAP_LE_Emulation.ttcn:315 Start timer T: 5 s 09:00:02.223066 1584 SCCP_Emulation.ttcn:5513 Message enqueued on SCCP_SP_PORT from VirtSMLC-BSSAP_LE(1585) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } id 1 09:00:02.223278 1584 SCCP_Emulation.ttcn:5651 Matching on port SCCP_SP_PORT succeeded. 09:00:02.223311 1584 SCCP_Emulation.ttcn:5651 Check-receive operation on port SCCP_SP_PORT succeeded, message from VirtSMLC-BSSAP_LE(1585): @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req: { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } id 1 09:00:02.223338 1584 SCCP_Emulation.ttcn:5659 Matching on port SCCP_SP_PORT failed: Type of the first message in the queue is not @SCCPasp_Types.ASP_SCCP_N_DATA_req. 09:00:02.223417 1584 SCCP_Emulation.ttcn:5665 Matching on port SCCP_SP_PORT succeeded: matched 09:00:02.223440 1584 SCCP_Emulation.ttcn:5665 Receive operation on port SCCP_SP_PORT succeeded, message from VirtSMLC-BSSAP_LE(1585): @SCCPasp_Types.ASP_SCCP_N_UNITDATA_req : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, sequenceControl := omit, returnOption := omit, userData := '000430040100'O, importance := omit } id 1 09:00:02.223476 1584 SCCP_Emulation.ttcn:5665 Message with id 1 was extracted from the queue of SCCP_SP_PORT. 09:00:02.223706 1584 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Encoding @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111011'B, subsystemNumber := 250, globalTitle := omit } 09:00:02.223927 1584 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Stream after encoding: '43BB00FA'O 09:00:02.224020 1584 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Encoding @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111110'B, subsystemNumber := 252, globalTitle := omit } 09:00:02.224042 1584 SCCP_Emulation.ttcn:2508 enc_PDU_SCCP_Address_itu(): Stream after encoding: '43BE00FC'O 09:00:02.224880 1584 SCCP_Emulation.ttcn:5297 Sent on MTP3_SCCP_PORT to VirtSMLC-M3UA(1586) @SCCP_Types.ASP_MTP3_TRANSFERreq_sccp : { sio := '83'O, opc := 190, dpc := 187, sls := 0, data := { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 0, pointer2 := 0, pointer3 := 0, calledPAddress := { paramLength := 4, addr := '43BB00FA'O }, callingPAddress := { paramLength := 4, addr := '43BE00FC'O }, data := { paramLength := 6, data := '000430040100'O } } } } 09:00:02.225063 1584 SCCP_Mapping.ttcnpp:100 enc_PDU_SCCP(): Encoding @SCCP_Types.PDU_SCCP: { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 0, pointer2 := 0, pointer3 := 0, calledPAddress := { paramLength := 4, addr := '43BB00FA'O }, callingPAddress := { paramLength := 4, addr := '43BE00FC'O }, data := { paramLength := 6, data := '000430040100'O } } } 09:00:02.225218 1584 SCCP_Mapping.ttcnpp:100 enc_PDU_SCCP(): Stream after encoding: '090003070B0443BB00FA0443BE00FC06000430040100'O 09:00:02.225312 1584 SCCP_Emulation.ttcn:5297 Outgoing message was mapped to @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, data := '090003070B0443BB00FA0443BE00FC06000430040100'O } 09:00:02.225488 1586 M3UA_Emulation.ttcn:596 Message enqueued on MTP3_SP_PORT from VirtSMLC-SCCP(1584) @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, data := '090003070B0443BB00FA0443BE00FC06000430040100'O } id 1 09:00:02.225568 1586 M3UA_Emulation.ttcn:597 Matching on port MTP3_SP_PORT succeeded: matched 09:00:02.225587 1586 M3UA_Emulation.ttcn:597 Receive operation on port MTP3_SP_PORT succeeded, message from VirtSMLC-SCCP(1584): @MTP3asp_Types.ASP_MTP3_TRANSFERreq : { sio := { ni := '10'B, prio := '00'B, si := '0011'B }, opc := 190, dpc := 187, sls := 0, data := '090003070B0443BB00FA0443BE00FC06000430040100'O } id 1 09:00:02.225595 1586 M3UA_Emulation.ttcn:597 Message with id 1 was extracted from the queue of MTP3_SP_PORT. 09:00:02.225726 1586 M3UA_Emulation.ttcn:616 enc_PDU_M3UA(): Encoding @M3UA_Types.PDU_M3UA: { m3UA_DATA := { version := '01'O, reserved := '00'O, messageClassAndType := '0101'O, messageLength := 0, messageParameters := { network_Appearance := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, protocol_Data := { tag := '0210'O, lengthInd := 0, oPC := '000000BE'O, dPC := '000000BB'O, sI := '03'O, nI := '02'O, mP := '00'O, sLS := '00'O, userProtocolData := '090003070B0443BB00FA0443BE00FC06000430040100'O }, correlation_ID := omit } } } 09:00:02.225765 1586 M3UA_Emulation.ttcn:616 enc_PDU_M3UA(): Stream after encoding: '0100010100000038000600080000000602100026000000BE000000BB03020000090003070B0443BB00FA0443BE00FC060004300401000000'O 09:00:02.225780 1586 M3UA_Emulation.ttcn:616 Sent on SCTP_PORT to system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 1, sinfo_ppid := 3, data := '0100010100000038000600080000000602100026000000BE000000BB03020000090003070B0443BB00FA0443BE00FC060004300401000000'O } 09:00:02.226406 1586 M3UA_Emulation.ttcn:596 Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 1, sinfo_ppid := 3, data := '010001010000003402100023000000BB000000BE03000000090003070B0443BE00FC0443BB00FA03000131000006000800000006'O } id 7 09:00:02.226415 1586 M3UA_Emulation.ttcn:668 Matching on port SCTP_PORT failed: Type of the first message in the queue is not @SCTPasp_Types.ASP_SCTP_ASSOC_CHANGE. 09:00:02.226421 1586 M3UA_Emulation.ttcn:711 Matching on port SCTP_PORT succeeded: matched 09:00:02.226431 1586 M3UA_Emulation.ttcn:711 Receive operation on port SCTP_PORT succeeded, message from system(): @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 1, sinfo_ppid := 3, data := '010001010000003402100023000000BB000000BE03000000090003070B0443BE00FC0443BB00FA03000131000006000800000006'O } id 7 09:00:02.226436 1586 M3UA_Emulation.ttcn:711 Message with id 7 was extracted from the queue of SCTP_PORT. 09:00:02.226442 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Stream before decoding: '010001010000003402100023000000BB000000BE03000000090003070B0443BE00FC0443BB00FA03000131000006000800000006'O 09:00:02.226477 1586 M3UA_Emulation.ttcn:724 dec_PDU_M3UA(): Decoded @M3UA_Types.PDU_M3UA: { m3UA_DATA := { version := '01'O, reserved := '00'O, messageClassAndType := '0101'O, messageLength := 52, messageParameters := { network_Appearance := omit, routing_Context := { tag := '0006'O, lengthInd := 8, routingContext := '00000006'O }, protocol_Data := { tag := '0210'O, lengthInd := 35, oPC := '000000BB'O, dPC := '000000BE'O, sI := '03'O, nI := '00'O, mP := '00'O, sLS := '00'O, userProtocolData := '090003070B0443BE00FC0443BB00FA03000131'O }, correlation_ID := omit } } } 09:00:02.226608 1586 M3UA_Emulation.ttcn:996 Sent on MTP3_SP_PORT to VirtSMLC-SCCP(1584) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '00'B, prio := '00'B, si := '0011'B }, opc := 187, dpc := 190, sls := 0, data := '090003070B0443BE00FC0443BB00FA03000131'O } 09:00:02.226815 1584 SCCP_Emulation.ttcn:5513 Message enqueued on MTP3_SCCP_PORT from VirtSMLC-M3UA(1586) @MTP3asp_Types.ASP_MTP3_TRANSFERind : { sio := { ni := '00'B, prio := '00'B, si := '0011'B }, opc := 187, dpc := 190, sls := 0, data := '090003070B0443BE00FC0443BB00FA03000131'O } id 1 09:00:02.226891 1584 SCCP_Mapping.ttcnpp:120 dec_PDU_SCCP(): Stream before decoding: '090003070B0443BE00FC0443BB00FA03000131'O 09:00:02.227118 1584 SCCP_Mapping.ttcnpp:120 dec_PDU_SCCP(): Decoded @SCCP_Types.PDU_SCCP: { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 3, pointer2 := 7, pointer3 := 11, calledPAddress := { paramLength := 4, addr := '43BE00FC'O }, callingPAddress := { paramLength := 4, addr := '43BB00FA'O }, data := { paramLength := 3, data := '000131'O } } } 09:00:02.227173 1584 SCCP_Emulation.ttcn:5513 Incoming message was mapped to @SCCP_Types.PDU_SCCP : { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 3, pointer2 := 7, pointer3 := 11, calledPAddress := { paramLength := 4, addr := '43BE00FC'O }, callingPAddress := { paramLength := 4, addr := '43BB00FA'O }, data := { paramLength := 3, data := '000131'O } } } id 1 09:00:02.227268 1584 SCCP_Emulation.ttcn:5517 Matching on port MTP3_SCCP_PORT succeeded: matched 09:00:02.227296 1584 SCCP_Emulation.ttcn:5517 Receive operation on port MTP3_SCCP_PORT succeeded, message from VirtSMLC-M3UA(1586): @SCCP_Types.PDU_SCCP : { unitdata := { messageType := 9, protClass := { class := '0000'B, messageHandling := '0000'B }, pointer1 := 3, pointer2 := 7, pointer3 := 11, calledPAddress := { paramLength := 4, addr := '43BE00FC'O }, callingPAddress := { paramLength := 4, addr := '43BB00FA'O }, data := { paramLength := 3, data := '000131'O } } } id 1 09:00:02.227336 1584 SCCP_Emulation.ttcn:5517 Message with id 1 was extracted from the queue of MTP3_SCCP_PORT. 09:00:02.227387 1584 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Stream before decoding: '43BE00FC'O 09:00:02.227478 1584 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Decoded @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111110'B, subsystemNumber := 252, globalTitle := omit } 09:00:02.227570 1584 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Stream before decoding: '43BB00FA'O 09:00:02.227587 1584 SCCP_Emulation.ttcn:2778 dec_PDU_SCCP_Address_itu(): Decoded @SCCP_Types.SCCP_param_CPartyAddress_itu: { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B, reserved := '0'B }, signPointCode := '0000000010111011'B, subsystemNumber := 250, globalTitle := omit } 09:00:02.227695 1584 SCCP_Emulation.ttcn:3538 Sent on SCCP_SP_PORT to VirtSMLC-BSSAP_LE(1585) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } 09:00:02.227858 1585 BSSAP_LE_Emulation.ttcn:316 Message enqueued on BSSAP_LE from VirtSMLC-SCCP(1584) @SCCPasp_Types.ASP_SCCP_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := '000131'O, importance := omit } id 1 09:00:02.227894 1585 BSSAP_LE_CodecPort.ttcn:277 dec_PDU_BSSAP_LE(): Stream before decoding: '000131'O 09:00:02.227984 1585 BSSAP_LE_CodecPort.ttcn:277 dec_PDU_BSSAP_LE(): Decoded @BSSAP_LE_Types.PDU_BSSAP_LE: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { reset_ack := { msg_type := BSSMAP_LE_RESET_ACK (49) } } } } 09:00:02.228008 1585 BSSAP_LE_Emulation.ttcn:316 Incoming message was mapped to @BSSAP_LE_CodecPort.BSSAP_LE_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { reset_ack := { msg_type := BSSMAP_LE_RESET_ACK (49) } } } }, importance := omit } id 1 09:00:02.228106 1585 BSSAP_LE_Emulation.ttcn:317 Matching on port BSSAP_LE succeeded: matched 09:00:02.228122 1585 BSSAP_LE_Emulation.ttcn:317 Receive operation on port BSSAP_LE succeeded, message from VirtSMLC-SCCP(1584): @BSSAP_LE_CodecPort.BSSAP_LE_N_UNITDATA_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111110'B, subsystemNumber := 252, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 250, globalTitle := omit }, sequenceControl := '00000000'B, returnOption := '00000000'B, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { reset_ack := { msg_type := BSSMAP_LE_RESET_ACK (49) } } } }, importance := omit } id 1 09:00:02.228131 1585 BSSAP_LE_Emulation.ttcn:317 Message with id 1 was extracted from the queue of BSSAP_LE. 09:00:02.228142 1585 BSSAP_LE_Emulation.ttcn:318 BSSMAP-LE: Received RESET-ACK in response to RESET, we're ready to go! 09:00:02.239311 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 09:00:02.239342 mtc BSC_Tests.ttcn:981 Creating new alive PTC with component type IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 09:00:02.243057 1589 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:02.243108 1589 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: IPA-BTS0-TRX0-RSL-IPA(1589), component type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. Version: 9.0.0. 09:00:02.243121 1589 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:02.243149 1589 - Connected to MC. 09:00:02.243157 1589 - Initializing variables, timers and ports of component type IPA_Emulation.IPA_Emulation_CT inside testcase TC_common_id. 09:00:02.243317 mtc BSC_Tests.ttcn:981 PTC was created. Component reference: 1589, alive: yes, type: IPA_Emulation.IPA_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-IPA. 09:00:02.243354 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Encoding @IPA_Types.IpaUnitId: { site_id := 1234, bts_id := 0, trx_id := 0 } 09:00:02.243369 mtc BSC_Tests.ttcn:984 enc_IpaUnitId(): Stream after encoding: "1234/0/0" 09:00:02.243376 mtc BSC_Tests.ttcn:986 Creating new alive PTC with component type RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 09:00:02.244425 1589 - Port IPA_PORT was started. 09:00:02.244438 1589 - Port CFG_PORT was started. 09:00:02.244442 1589 - Port MTP3_SP_PORT was started. 09:00:02.244445 1589 - Port IPA_MGCP_PORT was started. 09:00:02.244448 1589 - Port IPA_RSL_PORT was started. 09:00:02.244451 1589 - Port IPA_OML_PORT was started. 09:00:02.244454 1589 - Port IPA_CTRL_PORT was started. 09:00:02.244457 1589 - Port IPA_SP_PORT was started. 09:00:02.244460 1589 - Component type IPA_Emulation.IPA_Emulation_CT was initialized. 09:00:02.247054 1590 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:02.247098 1590 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: IPA-BTS0-TRX0-RSL-RSL(1590), component type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. Version: 9.0.0. 09:00:02.247107 1590 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:02.247129 1590 - Connected to MC. 09:00:02.247136 1590 - Initializing variables, timers and ports of component type RSL_Emulation.RSL_Emulation_CT inside testcase TC_common_id. 09:00:02.247281 mtc BSC_Tests.ttcn:986 PTC was created. Component reference: 1590, alive: yes, type: RSL_Emulation.RSL_Emulation_CT, component name: IPA-BTS0-TRX0-RSL-RSL. 09:00:02.247319 mtc BSC_Tests.ttcn:987 Connecting ports IPA-BTS0-TRX0-RSL-RSL(1590):CCHAN_PT and mtc:RSL_CCHAN[0]. 09:00:02.247480 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1590):CCHAN_PT on UNIX pathname /tmp/ttcn3-portconn-c77bf91e. 09:00:02.248187 1590 - Port IPA_PT was started. 09:00:02.248198 1590 - Port CLIENT_PT was started. 09:00:02.248202 1590 - Port RSL_PROC was started. 09:00:02.248205 1590 - Port CCHAN_PT was started. 09:00:02.248208 1590 - Component type RSL_Emulation.RSL_Emulation_CT was initialized. 09:00:02.248226 1590 - Port CCHAN_PT has established the connection with mtc:RSL_CCHAN[0] using transport type UNIX. 09:00:02.248331 mtc BSC_Tests.ttcn:987 Port RSL_CCHAN[0] has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1590):CCHAN_PT. 09:00:02.248385 mtc BSC_Tests.ttcn:987 Connect operation on IPA-BTS0-TRX0-RSL-RSL(1590):CCHAN_PT and mtc:RSL_CCHAN[0] finished. 09:00:02.248404 mtc BSC_Tests.ttcn:990 Mapping port IPA-BTS0-TRX0-RSL-IPA(1589):IPA_PORT to system:IPA_CODEC_PT. 09:00:02.248482 1589 - Port IPA_PORT was mapped to system:IPA_CODEC_PT. 09:00:02.248541 mtc BSC_Tests.ttcn:990 Map operation of IPA-BTS0-TRX0-RSL-IPA(1589):IPA_PORT to system:IPA_CODEC_PT finished. 09:00:02.248557 mtc BSC_Tests.ttcn:991 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1589):CFG_PORT and mtc:IPA_CFG_PORT[0][0]. 09:00:02.248663 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] is waiting for connection from IPA-BTS0-TRX0-RSL-IPA(1589):CFG_PORT on UNIX pathname /tmp/ttcn3-portconn-96538b6e. 09:00:02.248717 1589 - Port CFG_PORT has established the connection with mtc:IPA_CFG_PORT[0][0] using transport type UNIX. 09:00:02.248742 mtc BSC_Tests.ttcn:991 Port IPA_CFG_PORT[0][0] has accepted the connection from IPA-BTS0-TRX0-RSL-IPA(1589):CFG_PORT. 09:00:02.248761 mtc BSC_Tests.ttcn:991 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1589):CFG_PORT and mtc:IPA_CFG_PORT[0][0] finished. 09:00:02.248774 mtc BSC_Tests.ttcn:993 Connecting ports IPA-BTS0-TRX0-RSL-IPA(1589):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1590):IPA_PT. 09:00:02.248837 1589 - Port IPA_RSL_PORT is waiting for connection from IPA-BTS0-TRX0-RSL-RSL(1590):IPA_PT on UNIX pathname /tmp/ttcn3-portconn-b717a174. 09:00:02.248852 1590 - Port IPA_PT has established the connection with IPA-BTS0-TRX0-RSL-IPA(1589):IPA_RSL_PORT using transport type UNIX. 09:00:02.248868 1589 - Port IPA_RSL_PORT has accepted the connection from IPA-BTS0-TRX0-RSL-RSL(1590):IPA_PT. 09:00:02.248879 mtc BSC_Tests.ttcn:993 Connect operation on IPA-BTS0-TRX0-RSL-IPA(1589):IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1590):IPA_PT finished. 09:00:02.248893 mtc BSC_Tests.ttcn:999 Starting function main_client("172.18.128.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true) on component IPA-BTS0-TRX0-RSL-IPA(1589). 09:00:02.248938 mtc BSC_Tests.ttcn:999 Function was started. 09:00:02.248952 mtc BSC_Tests.ttcn:1001 Starting function main(true) on component IPA-BTS0-TRX0-RSL-RSL(1590). 09:00:02.248978 mtc BSC_Tests.ttcn:1001 Function was started. 09:00:02.248991 mtc BSC_Tests.ttcn:1046 Start timer T: 5 s 09:00:02.248998 1590 - Starting function main(true). 09:00:02.249008 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.048415. 09:00:02.249036 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "48414648", variable := "bts.0.oml-connection-state", val := omit } } 09:00:02.249039 1589 - Starting function main_client("172.18.128.20", 3003, "", 10000, { ser_nr := "", name := "Osmocom TTCN-3 BTS Simulator", location1 := "", location2 := "", equip_version := "", sw_version := "", ip_addr := "", mac_addr := "", unit_id := "1234/0/0", osmo_rand := "" }, true). 09:00:02.249056 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 09:00:02.249077 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc.0.connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "48414648", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 09:00:02.249078 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "48414648", variable := "bts.0.oml-connection-state", val := omit } } id 2 09:00:02.249094 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 09:00:02.249107 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1587): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc.0.connection_status", val := "connected" } } id 3 09:00:02.249116 1587 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 09:00:02.249120 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 3 was extracted from the queue of IPA_CTRL. 09:00:02.249124 1587 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "48414648", variable := "bts.0.oml-connection-state", val := omit } } id 2 09:00:02.249130 1587 IPA_Emulation.ttcnpp:879 Message with id 2 was extracted from the queue of IPA_CTRL_PORT. 09:00:02.249135 1587 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "48414648", variable := "bts.0.oml-connection-state", val := omit } } 09:00:02.249136 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL { trap := { variable := "msc_connection_status", val := "connected" } } with { resp := { verb := "GET_REPLY", id := "48414648", variable := "bts.0.oml-connection-state", val := ? } } unmatched: First message in the queue does not match the template: 09:00:02.249146 1587 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 48414648 bts.0.oml-connection-state" 09:00:02.249150 mtc Osmocom_CTRL_Functions.ttcn:42 Matching on port IPA_CTRL succeeded: matched 09:00:02.249162 mtc Osmocom_CTRL_Functions.ttcn:42 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1587): @Osmocom_CTRL_Types.CtrlMessage : { trap := { variable := "msc_connection_status", val := "connected" } } id 4 09:00:02.249166 1587 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '474554203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 48414648 bts.0.oml-connection-state") } 09:00:02.249173 mtc Osmocom_CTRL_Functions.ttcn:42 Message with id 4 was extracted from the queue of IPA_CTRL. 09:00:02.249174 1587 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '474554203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 48414648 bts.0.oml-connection-state") } 09:00:02.249191 1587 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0028EE00474554203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 09:00:02.249203 1587 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0028EE00474554203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 09:00:02.249212 1589 IPA_Emulation.ttcnpp:309 entering f__IPL4__PROVIDER__connect: :10000 -> 172.18.128.20:3003 / TCP 09:00:02.249412 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 4249, locName := "172.18.128.203", locPort := 33377, proto := { tcp := { } }, userData := 0, msg := '0037EE004745545F5245504C59203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O } id 4 09:00:02.249419 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0037EE004745545F5245504C59203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O 09:00:02.249430 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 55, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C59203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 48414648 bts.0.oml-connection-state degraded") } 09:00:02.249443 1587 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C59203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 48414648 bts.0.oml-connection-state degraded") } id 4 09:00:02.249450 1587 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.249457 1587 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C59203438343134363438206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465206465677261646564'O ("GET_REPLY 48414648 bts.0.oml-connection-state degraded") } id 4 09:00:02.249462 1587 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 09:00:02.249467 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 48414648 bts.0.oml-connection-state degraded" 09:00:02.249474 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 48414648 bts.0.oml-connection-state degraded 09:00:02.249478 1587 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:00:02.249482 1587 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:00:02.249492 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 48414648 bts.0.oml-connection-state degraded 09:00:02.249496 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:00:02.249501 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:02.249505 1587 IPA_Emulation.ttcnpp:627 match_begin result: 3 09:00:02.249509 1587 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 48414648 bts.0.oml-connection-state degraded 09:00:02.249512 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.249516 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.249523 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 48414648 bts.0.oml-connection-state degraded 09:00:02.249527 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:00:02.249532 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:02.249535 1587 IPA_Emulation.ttcnpp:627 match_begin result: 9 09:00:02.249539 1587 IPA_Emulation.ttcnpp:627 match_begin data: 48414648 bts.0.oml-connection-state degraded 09:00:02.249542 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.249546 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.249550 1587 IPA_Emulation.ttcnpp:627 match_first data: 48414648 bts.0.oml-connection-state degraded 09:00:02.249553 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.249557 1587 IPA_Emulation.ttcnpp:627 match_first result: 8 09:00:02.249560 1587 IPA_Emulation.ttcnpp:627 match_list data: 48414648 bts.0.oml-connection-state degraded 09:00:02.249566 1587 IPA_Emulation.ttcnpp:627 match_list result: 8 09:00:02.249569 1587 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state degraded 09:00:02.249573 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.249577 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.249580 1587 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state degraded 09:00:02.249583 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.249587 1587 IPA_Emulation.ttcnpp:627 match_first result: 26 09:00:02.249590 1587 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state degraded 09:00:02.249594 1587 IPA_Emulation.ttcnpp:627 match_list result: 26 09:00:02.249597 1587 IPA_Emulation.ttcnpp:627 match_begin data: degraded 09:00:02.249600 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.249604 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.249607 1587 IPA_Emulation.ttcnpp:627 match_first data: degraded 09:00:02.249611 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.249614 1587 IPA_Emulation.ttcnpp:627 match_first result: -1 09:00:02.249618 1587 IPA_Emulation.ttcnpp:627 match_list data: degraded 09:00:02.249621 1587 IPA_Emulation.ttcnpp:627 match_list result: -1 09:00:02.249625 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "48414648", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:00:02.249632 1587 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "48414648", variable := "bts.0.oml-connection-state", val := "degraded" } } 09:00:02.249652 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "48414648", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 09:00:02.249672 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 09:00:02.249686 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1587): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "48414648", variable := "bts.0.oml-connection-state", val := "degraded" } } id 5 09:00:02.249697 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 5 was extracted from the queue of IPA_CTRL. 09:00:02.249710 mtc Osmocom_Types.ttcn:118 Start timer T: 0.1 s 09:00:02.250049 1589 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:00:02.250149 1589 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '06'O 09:00:02.250315 1589 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:00:02.250399 1589 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } 09:00:02.250480 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 09:00:02.250516 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0001FE06'O 09:00:02.250566 1589 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0001FE06'O } 09:00:02.250871 1589 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } 09:00:02.251091 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:00:02.251209 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT succeeded: matched 09:00:02.251226 1590 RSL_Emulation.ttcn:497 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_UP (1), conn_id := 1, id_resp := omit } id 1 09:00:02.251240 1590 RSL_Emulation.ttcn:497 Message with id 1 was extracted from the queue of IPA_PT. 09:00:02.251471 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0011FE0401080107010201030104010501010100'O } id 1 09:00:02.251498 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0011FE0401080107010201030104010501010100'O 09:00:02.251587 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 17, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '0401080107010201030104010501010100'O } 09:00:02.251603 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 09:00:02.251621 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0001FE06'O } id 2 09:00:02.251627 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0001FE06'O 09:00:02.251633 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '06'O } 09:00:02.251638 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 09:00:02.251688 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 09:00:02.251705 1589 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '0401080107010201030104010501010100'O } id 1 09:00:02.251712 1589 IPA_Emulation.ttcnpp:737 Message with id 1 was extracted from the queue of IPA_PORT. 09:00:02.251718 1589 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '0401080107010201030104010501010100'O 09:00:02.251740 1589 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 09:00:02.251754 1589 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_GET (4), u := { get := { { len := 1, tag := IPAC_IDTAG_UNITID (8) }, { len := 1, tag := IPAC_IDTAG_MACADDR (7) }, { len := 1, tag := IPAC_IDTAG_LOCATION1 (2) }, { len := 1, tag := IPAC_IDTAG_LOCATION2 (3) }, { len := 1, tag := IPAC_IDTAG_EQUIPVERS (4) }, { len := 1, tag := IPAC_IDTAG_SWVERSION (5) }, { len := 1, tag := IPAC_IDTAG_UNITNAME (1) }, { len := 1, tag := IPAC_IDTAG_SERNR (0) } } } } 09:00:02.251909 1589 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Encoding @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 09:00:02.251952 1589 IPA_Emulation.ttcnpp:471 enc_PDU_IPA_CCM(): Stream after encoding: '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 09:00:02.251964 1589 IPA_Emulation.ttcnpp:472 CCM Tx:{ msg_type := IPAC_MSGT_ID_RESP (5), u := { resp := { { len := 0, tag := IPAC_IDTAG_UNITID (8), data := '313233342F302F3000'O }, { len := 0, tag := IPAC_IDTAG_MACADDR (7), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION1 (2), data := '00'O }, { len := 0, tag := IPAC_IDTAG_LOCATION2 (3), data := '00'O }, { len := 0, tag := IPAC_IDTAG_EQUIPVERS (4), data := '00'O }, { len := 0, tag := IPAC_IDTAG_SWVERSION (5), data := '00'O }, { len := 0, tag := IPAC_IDTAG_UNITNAME (1), data := '4F736D6F636F6D205454434E2D33204254532053696D756C61746F7200'O }, { len := 0, tag := IPAC_IDTAG_SERNR (0), data := '00'O } } } } 09:00:02.252007 1589 IPA_Emulation.ttcnpp:473 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 09:00:02.252018 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, payloadData := '05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 09:00:02.252031 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O 09:00:02.252042 1589 IPA_Emulation.ttcnpp:473 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0045FE05000A08313233342F302F30000002070000020200000203000002040000020500001E014F736D6F636F6D205454434E2D33204254532053696D756C61746F720000020000'O } 09:00:02.252076 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT succeeded: matched 09:00:02.252085 1589 IPA_Emulation.ttcnpp:737 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_CCM (254), streamIdExt := omit, msg := '06'O } id 2 09:00:02.252091 1589 IPA_Emulation.ttcnpp:737 Message with id 2 was extracted from the queue of IPA_PORT. 09:00:02.252097 1589 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Stream before decoding: '06'O 09:00:02.252102 1589 IPA_Emulation.ttcnpp:738 dec_PDU_IPA_CCM(): Decoded @IPA_Types.PDU_IPA_CCM: { msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:00:02.252106 1589 IPA_Emulation.ttcnpp:739 CCM Rx:{ msg_type := IPAC_MSGT_ID_ACK (6), u := omit } 09:00:02.252118 1589 IPA_Emulation.ttcnpp:378 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } 09:00:02.252130 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 09:00:02.252187 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_UP (1) unmatched: First message in the queue does not match the template: 09:00:02.252198 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT ASP_IPA_EVENT_ID_ACK (3) with ASP_IPA_EVENT_DOWN (0) unmatched: First message in the queue does not match the template: 09:00:02.252203 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT succeeded: matched 09:00:02.252208 1590 RSL_Emulation.ttcn:522 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_IPA_Event : { ev_type := ASP_IPA_EVENT_ID_ACK (3), conn_id := 1, id_resp := omit } id 2 09:00:02.252214 1590 RSL_Emulation.ttcn:522 Message with id 2 was extracted from the queue of IPA_PT. 09:00:02.252658 1590 RSL_Emulation.ttcn:523 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } 09:00:02.253128 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 09:00:02.253237 1589 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:00:02.253253 1589 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } } id 1 09:00:02.253265 1589 IPA_Emulation.ttcnpp:913 Message with id 1 was extracted from the queue of IPA_RSL_PORT. 09:00:02.253293 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CCCH_LOAD_IND (18), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_PAGING_LOAD (15), body := { paging_load := 23 } } } } 09:00:02.253467 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C1201900F0017'O 09:00:02.253493 1589 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1201900F0017'O } 09:00:02.253499 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1201900F0017'O } 09:00:02.253506 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0007000C1201900F0017'O 09:00:02.253514 1589 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0007000C1201900F0017'O } 09:00:02.253547 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 09:00:02.253553 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0127175506198FB38000000000000000000000000000E504002B'O 09:00:02.253560 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } 09:00:02.253568 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 09:00:02.253575 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 09:00:02.253580 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E02271759061A00000000000000000000000000000000FFE50400'O 09:00:02.253586 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } 09:00:02.253597 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 09:00:02.253604 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '0006000C1101801E0A'O } id 5 09:00:02.253608 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0006000C1101801E0A'O 09:00:02.253613 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 6, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0A'O } 09:00:02.253618 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 09:00:02.253623 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 09:00:02.253628 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 09:00:02.253633 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } 09:00:02.253640 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 09:00:02.253645 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 09:00:02.253651 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 09:00:02.253657 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } 09:00:02.253663 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 09:00:02.253668 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 09:00:02.253672 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O 09:00:02.253678 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } 09:00:02.253684 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 09:00:02.253690 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 09:00:02.253694 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O 09:00:02.253700 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } 09:00:02.253706 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 09:00:02.253712 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001F000C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 09:00:02.253716 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001F000C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 09:00:02.253721 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 31, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } 09:00:02.253728 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 09:00:02.253734 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E050B001349061D10000000000000000000000000000000'O } id 11 09:00:02.253739 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E050B001349061D10000000000000000000000000000000'O 09:00:02.253745 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E050B001349061D10000000000000000000000000000000'O } 09:00:02.253753 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 09:00:02.253758 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400101A1E0D'O } id 12 09:00:02.253763 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400101A1E0D'O 09:00:02.253767 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0D'O } 09:00:02.253772 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 09:00:02.253778 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001A00101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 09:00:02.253782 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001A00101A1E0E0B00134906069E050020000000000000000000000000'O 09:00:02.253788 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 26, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E0E0B00134906069E050020000000000000000000000000'O } 09:00:02.253794 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 09:00:02.253799 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001400101A1E060B000D2D061E000000F110000197FF2B'O } id 14 09:00:02.253803 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001400101A1E060B000D2D061E000000F110000197FF2B'O 09:00:02.253808 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 20, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '101A1E060B000D2D061E000000F110000197FF2B'O } 09:00:02.253814 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 09:00:02.253820 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 09:00:02.253825 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '003F0010600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 09:00:02.253831 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 63, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } 09:00:02.253841 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 09:00:02.253886 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.253895 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.253901 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O } id 3 09:00:02.253906 1589 IPA_Emulation.ttcnpp:753 Message with id 3 was extracted from the queue of IPA_PORT. 09:00:02.253929 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0127175506198FB38000000000000000000000000000E504002B'O 09:00:02.254147 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } 09:00:02.254637 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 09:00:02.254733 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.254740 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.254745 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O } id 4 09:00:02.254751 1589 IPA_Emulation.ttcnpp:753 Message with id 4 was extracted from the queue of IPA_PORT. 09:00:02.254755 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E02271759061A00000000000000000000000000000000FFE50400'O 09:00:02.254766 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } 09:00:02.254795 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 09:00:02.254812 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.254817 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.254821 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0A'O } id 5 09:00:02.254825 1589 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 09:00:02.254829 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0A'O 09:00:02.254836 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } 09:00:02.254849 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 09:00:02.254856 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.254860 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.254865 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O } id 6 09:00:02.254869 1589 IPA_Emulation.ttcnpp:753 Message with id 6 was extracted from the queue of IPA_PORT. 09:00:02.254872 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E0B27174906038E3200000000000000000000000008002B2B2B2B'O 09:00:02.254880 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } 09:00:02.254896 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 09:00:02.254903 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.254909 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.254910 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 09:00:02.254914 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } id 7 09:00:02.254918 1589 IPA_Emulation.ttcnpp:753 Message with id 7 was extracted from the queue of IPA_PORT. 09:00:02.254922 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E292717590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O 09:00:02.254929 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } 09:00:02.254943 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 09:00:02.254951 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.254955 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.254956 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.254959 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O } id 8 09:00:02.254963 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.254964 1589 IPA_Emulation.ttcnpp:753 Message with id 8 was extracted from the queue of IPA_PORT. 09:00:02.254967 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.254968 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E03271749061B000000F1100001C9031E174740E504000C132B2B'O 09:00:02.254975 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } 09:00:02.254990 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } 09:00:02.254997 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255003 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255007 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O } id 9 09:00:02.255011 1589 IPA_Emulation.ttcnpp:753 Message with id 9 was extracted from the queue of IPA_PORT. 09:00:02.255015 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E04271741061C00F11000014740E5040064304367012B2B2B2B2B'O 09:00:02.255022 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } 09:00:02.255036 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } 09:00:02.255043 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255047 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255052 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } id 10 09:00:02.255056 1589 IPA_Emulation.ttcnpp:753 Message with id 10 was extracted from the queue of IPA_PORT. 09:00:02.255060 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1101801E2827170106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O 09:00:02.255067 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } 09:00:02.255080 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 09:00:02.255087 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255092 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255097 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E050B001349061D10000000000000000000000000000000'O } id 11 09:00:02.255101 1589 IPA_Emulation.ttcnpp:753 Message with id 11 was extracted from the queue of IPA_PORT. 09:00:02.255105 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E050B001349061D10000000000000000000000000000000'O 09:00:02.255122 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } 09:00:02.255152 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255163 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 09:00:02.255173 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255177 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255181 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0D'O } id 12 09:00:02.255185 1589 IPA_Emulation.ttcnpp:753 Message with id 12 was extracted from the queue of IPA_PORT. 09:00:02.255189 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0D'O 09:00:02.255194 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } 09:00:02.255203 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 09:00:02.255206 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255210 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255214 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255219 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E0E0B00134906069E050020000000000000000000000000'O } id 13 09:00:02.255223 1589 IPA_Emulation.ttcnpp:753 Message with id 13 was extracted from the queue of IPA_PORT. 09:00:02.255226 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E0E0B00134906069E050020000000000000000000000000'O 09:00:02.255233 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } 09:00:02.255240 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255246 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 09:00:02.255253 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255253 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255258 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255262 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 3 09:00:02.255263 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '101A1E060B000D2D061E000000F110000197FF2B'O } id 14 09:00:02.255267 1589 IPA_Emulation.ttcnpp:753 Message with id 14 was extracted from the queue of IPA_PORT. 09:00:02.255268 1590 RSL_Emulation.ttcn:589 Message with id 3 was extracted from the queue of IPA_PT. 09:00:02.255271 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '101A1E060B000D2D061E000000F110000197FF2B'O 09:00:02.255277 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } 09:00:02.255287 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 09:00:02.255294 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:02.255298 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.255303 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } id 15 09:00:02.255308 1589 IPA_Emulation.ttcnpp:753 Message with id 15 was extracted from the queue of IPA_PORT. 09:00:02.255311 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '10600D0004001F37062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O 09:00:02.255318 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } 09:00:02.255349 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } 09:00:02.255401 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 09:00:02.255410 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_1 (1) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '5506198FB38000000000000000000000000000E504002B'O } } } } } } id 1 09:00:02.255415 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 09:00:02.255423 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 09:00:02.255432 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 09:00:02.255441 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 09:00:02.255449 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 9 09:00:02.255457 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 09:00:02.255457 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 09:00:02.255475 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 09:00:02.255485 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 09:00:02.255492 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 09:00:02.255500 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 09:00:02.255506 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255510 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255513 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255525 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255539 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255547 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255565 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255573 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 4 09:00:02.255581 1590 RSL_Emulation.ttcn:589 Message with id 4 was extracted from the queue of IPA_PT. 09:00:02.255588 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } 09:00:02.255597 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255600 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255604 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255614 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2 (2) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '59061A00000000000000000000000000000000FFE50400'O } } } } } } id 2 09:00:02.255656 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatchedRSL_CHAN_NR_BCCH (16) with RSL_CHAN_NR_PCH_AGCH (18) unmatched.rsl.ies[1].body{ sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:00:02.255672 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255680 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255686 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255691 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 5 09:00:02.255697 1590 RSL_Emulation.ttcn:589 Message with id 5 was extracted from the queue of IPA_PT. 09:00:02.255702 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } 09:00:02.255710 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255714 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255717 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255724 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2bis (10) } } } } } id 3 09:00:02.255727 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255739 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255747 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255752 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255758 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 6 09:00:02.255763 1590 RSL_Emulation.ttcn:589 Message with id 6 was extracted from the queue of IPA_PT. 09:00:02.255769 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } 09:00:02.255775 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255779 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255782 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255790 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2ter (11) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '4906038E3200000000000000000000000008002B2B2B2B'O } } } } } } id 4 09:00:02.255791 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255804 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255811 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255816 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255822 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 7 09:00:02.255827 1590 RSL_Emulation.ttcn:589 Message with id 7 was extracted from the queue of IPA_PT. 09:00:02.255833 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } 09:00:02.255839 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255843 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255846 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255854 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_2quater (41) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '590607400004870000B3006FB5D2AD812B2B2B2B2B2B2B'O } } } } } } id 5 09:00:02.255855 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255867 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255874 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255879 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255885 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 8 09:00:02.255890 1590 RSL_Emulation.ttcn:589 Message with id 8 was extracted from the queue of IPA_PT. 09:00:02.255896 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } 09:00:02.255902 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255905 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255909 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255916 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_3 (3) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '49061B000000F1100001C9031E174740E504000C132B2B'O } } } } } } id 6 09:00:02.255917 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255929 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.255938 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.255943 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.255949 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 9 09:00:02.255954 1590 RSL_Emulation.ttcn:589 Message with id 9 was extracted from the queue of IPA_PT. 09:00:02.255960 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } 09:00:02.255966 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255970 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255973 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.255981 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_4 (4) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '41061C00F11000014740E5040064304367012B2B2B2B2B'O } } } } } } id 7 09:00:02.255982 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.255993 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MT_BCCH_INFO (17) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.256000 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_CCHAN (6) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:02.256005 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT succeeded: matched 09:00:02.256012 1590 RSL_Emulation.ttcn:589 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 10 09:00:02.256017 1590 RSL_Emulation.ttcn:589 Message with id 10 was extracted from the queue of IPA_PT. 09:00:02.256023 1590 RSL_Emulation.ttcn:590 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } 09:00:02.256029 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256033 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256036 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256043 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_BCCH_INFO (17), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_BCCH (16) }, tn := 0 } } }, { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_13 (40) } }, { iei := RSL_IE_FULL_BCCH_INFO (39), body := { other := { len := 23, payload := '0106008000185A6FC9F14608411B2B2B2B2B2B2B2B2B2B'O } } } } } } id 8 09:00:02.256045 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5 (5) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:00:02.256056 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.256063 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:00:02.256068 1590 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 11 09:00:02.256073 1590 RSL_Emulation.ttcn:584 Message with id 11 was extracted from the queue of IPA_PT. 09:00:02.256079 1590 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } 09:00:02.256088 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256092 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256095 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256102 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5 (5) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '49061D10000000000000000000000000000000'O } } } } } } id 9 09:00:02.256105 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.256116 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.256124 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:00:02.256129 1590 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 12 09:00:02.256134 1590 RSL_Emulation.ttcn:584 Message with id 12 was extracted from the queue of IPA_PT. 09:00:02.256138 1590 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } 09:00:02.256144 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256148 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256151 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256155 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5bis (13) } } } } } id 10 09:00:02.256159 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:00:02.256171 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.256185 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:00:02.256190 1590 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 13 09:00:02.256196 1590 RSL_Emulation.ttcn:584 Message with id 13 was extracted from the queue of IPA_PT. 09:00:02.256201 1590 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } 09:00:02.256207 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256210 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256214 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256220 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_5ter (14) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 19, payload := '4906069E050020000000000000000000000000'O } } } } } } id 11 09:00:02.256222 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies[0].body{ sysinfo_type := RSL_SYSTEM_INFO_6 (6) } with { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } unmatched.rsl.ies[1].body{ l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } with { full_imm_ass_info := ? } unmatched: First message in the queue does not match the template: 09:00:02.256233 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_SACCH_FILL (26) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.256239 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:00:02.256244 1590 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 14 09:00:02.256249 1590 RSL_Emulation.ttcn:584 Message with id 14 was extracted from the queue of IPA_PT. 09:00:02.256255 1590 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } 09:00:02.256275 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_SACCH_FILL (26), ies := { { iei := RSL_IE_SYSINFO_TYPE (30), body := { sysinfo_type := RSL_SYSTEM_INFO_6 (6) } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 13, payload := '2D061E000000F110000197FF2B'O } } } } } } id 12 09:00:02.256314 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 09:00:02.256323 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256327 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256330 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:02.256342 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:02.256355 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_TRX_MGMT (8) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_IPAC_MEAS_PREPROC_DFT (96) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:02.256362 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT succeeded: matched 09:00:02.256369 1590 RSL_Emulation.ttcn:584 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 15 09:00:02.256376 1590 RSL_Emulation.ttcn:584 Message with id 15 was extracted from the queue of IPA_PT. 09:00:02.256383 1590 RSL_Emulation.ttcn:585 Sent on CCHAN_PT to mtc @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } 09:00:02.256410 mtc Osmocom_Types.ttcn:119 Message enqueued on RSL_CCHAN[0] from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_TRX_MGMT (8), transparent := false }, msg_type := RSL_MT_IPAC_MEAS_PREPROC_DFT (96), ies := { { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 0 } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 55, params := '062026300A0A0C131405070F120242F10C000000000000000000000000F218000000000000000000000000000000000000000000000000'O } } } } } } id 13 09:00:02.349726 mtc Osmocom_Types.ttcn:119 Timeout T: 0.1 s 09:00:02.349800 mtc Osmocom_CTRL_Functions.ttcn:29 Function rnd() returned 0.967645. 09:00:02.349834 mtc Osmocom_CTRL_Functions.ttcn:37 Sent on IPA_CTRL to IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "967644868", variable := "bts.0.oml-connection-state", val := omit } } 09:00:02.349863 mtc Osmocom_CTRL_Functions.ttcn:38 Start timer T: 2 s 09:00:02.349937 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_CTRL_PORT from mtc @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "967644868", variable := "bts.0.oml-connection-state", val := omit } } id 3 09:00:02.349992 1587 IPA_Emulation.ttcnpp:879 Matching on port IPA_CTRL_PORT succeeded: matched 09:00:02.350001 1587 IPA_Emulation.ttcnpp:879 Receive operation on port IPA_CTRL_PORT succeeded, message from mtc: @Osmocom_CTRL_Types.CtrlMessage : { cmd := { verb := "GET", id := "967644868", variable := "bts.0.oml-connection-state", val := omit } } id 3 09:00:02.350007 1587 IPA_Emulation.ttcnpp:879 Message with id 3 was extracted from the queue of IPA_CTRL_PORT. 09:00:02.350014 1587 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Encoding @Osmocom_CTRL_Types.CtrlMessage: { cmd := { verb := "GET", id := "967644868", variable := "bts.0.oml-connection-state", val := omit } } 09:00:02.350023 1587 IPA_Emulation.ttcnpp:880 enc_CtrlMessage(): Stream after encoding: "GET 967644868 bts.0.oml-connection-state" 09:00:02.350043 1587 IPA_Emulation.ttcnpp:882 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '47455420393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 967644868 bts.0.oml-connection-state") } 09:00:02.350054 1587 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '47455420393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O ("GET 967644868 bts.0.oml-connection-state") } 09:00:02.350073 1587 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0029EE0047455420393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O 09:00:02.350083 1587 IPA_Emulation.ttcnpp:882 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0029EE0047455420393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D7374617465'O } 09:00:02.350280 1587 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 4249, locName := "172.18.128.203", locPort := 33377, proto := { tcp := { } }, userData := 0, msg := '0039EE004745545F5245504C5920393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O } id 5 09:00:02.350290 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '0039EE004745545F5245504C5920393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O 09:00:02.350305 1587 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 57, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), payloadData := '4745545F5245504C5920393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 967644868 bts.0.oml-connection-state connected") } 09:00:02.350320 1587 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 967644868 bts.0.oml-connection-state connected") } id 5 09:00:02.350327 1587 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:02.350334 1587 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_OSMO (238), streamIdExt := IPAC_PROTO_EXT_CTRL (0), msg := '4745545F5245504C5920393637363434383638206274732E302E6F6D6C2D636F6E6E656374696F6E2D737461746520636F6E6E6563746564'O ("GET_REPLY 967644868 bts.0.oml-connection-state connected") } id 5 09:00:02.350339 1587 IPA_Emulation.ttcnpp:753 Message with id 5 was extracted from the queue of IPA_PORT. 09:00:02.350345 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Stream before decoding: "GET_REPLY 967644868 bts.0.oml-connection-state connected" 09:00:02.350352 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 967644868 bts.0.oml-connection-state connected 09:00:02.350356 1587 IPA_Emulation.ttcnpp:627 match_begin token: null_match 09:00:02.350360 1587 IPA_Emulation.ttcnpp:627 match_begin result: 0 09:00:02.350371 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 967644868 bts.0.oml-connection-state connected 09:00:02.350374 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET)|(SET)))" 09:00:02.350380 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:02.350385 1587 IPA_Emulation.ttcnpp:627 match_begin result: 3 09:00:02.350388 1587 IPA_Emulation.ttcnpp:627 match_begin data: _REPLY 967644868 bts.0.oml-connection-state connected 09:00:02.350392 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.350396 1587 IPA_Emulation.ttcnpp:627 match_begin result: -1 09:00:02.350404 1587 IPA_Emulation.ttcnpp:627 match_begin data: GET_REPLY 967644868 bts.0.oml-connection-state connected 09:00:02.350407 1587 IPA_Emulation.ttcnpp:627 match_begin token: "^(((GET_REPLY)|(SET_REPLY)))" 09:00:02.350412 1587 IPA_Emulation.ttcnpp:627 match_begin regexec result: 0, Success 09:00:02.350415 1587 IPA_Emulation.ttcnpp:627 match_begin result: 9 09:00:02.350418 1587 IPA_Emulation.ttcnpp:627 match_begin data: 967644868 bts.0.oml-connection-state connected 09:00:02.350422 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.350425 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.350429 1587 IPA_Emulation.ttcnpp:627 match_first data: 967644868 bts.0.oml-connection-state connected 09:00:02.350432 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.350435 1587 IPA_Emulation.ttcnpp:627 match_first result: 9 09:00:02.350440 1587 IPA_Emulation.ttcnpp:627 match_list data: 967644868 bts.0.oml-connection-state connected 09:00:02.350443 1587 IPA_Emulation.ttcnpp:627 match_list result: 9 09:00:02.350447 1587 IPA_Emulation.ttcnpp:627 match_begin data: bts.0.oml-connection-state connected 09:00:02.350450 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.350453 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.350457 1587 IPA_Emulation.ttcnpp:627 match_first data: bts.0.oml-connection-state connected 09:00:02.350460 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.350463 1587 IPA_Emulation.ttcnpp:627 match_first result: 26 09:00:02.350467 1587 IPA_Emulation.ttcnpp:627 match_list data: bts.0.oml-connection-state connected 09:00:02.350472 1587 IPA_Emulation.ttcnpp:627 match_list result: 26 09:00:02.350475 1587 IPA_Emulation.ttcnpp:627 match_begin data: connected 09:00:02.350478 1587 IPA_Emulation.ttcnpp:627 match_begin token: " " 09:00:02.350482 1587 IPA_Emulation.ttcnpp:627 match_begin result: 1 09:00:02.350485 1587 IPA_Emulation.ttcnpp:627 match_first data: connected 09:00:02.350488 1587 IPA_Emulation.ttcnpp:627 match_first token: " " 09:00:02.350491 1587 IPA_Emulation.ttcnpp:627 match_first result: -1 09:00:02.350494 1587 IPA_Emulation.ttcnpp:627 match_list data: connected 09:00:02.350498 1587 IPA_Emulation.ttcnpp:627 match_list result: -1 09:00:02.350501 1587 IPA_Emulation.ttcnpp:627 dec_CtrlMessage(): Decoded @Osmocom_CTRL_Types.CtrlMessage: { resp := { verb := "GET_REPLY", id := "967644868", variable := "bts.0.oml-connection-state", val := "connected" } } 09:00:02.350509 1587 IPA_Emulation.ttcnpp:627 Sent on IPA_CTRL_PORT to mtc @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "967644868", variable := "bts.0.oml-connection-state", val := "connected" } } 09:00:02.350596 mtc Osmocom_CTRL_Functions.ttcn:39 Message enqueued on IPA_CTRL from IPA-CTRL-CLI-IPA(1587) @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "967644868", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 09:00:02.350641 mtc Osmocom_CTRL_Functions.ttcn:40 Matching on port IPA_CTRL succeeded: matched 09:00:02.350658 mtc Osmocom_CTRL_Functions.ttcn:40 Receive operation on port IPA_CTRL succeeded, message from IPA-CTRL-CLI-IPA(1587): @Osmocom_CTRL_Types.CtrlMessage : { resp := { verb := "GET_REPLY", id := "967644868", variable := "bts.0.oml-connection-state", val := "connected" } } id 6 09:00:02.350682 mtc Osmocom_CTRL_Functions.ttcn:40 Message with id 6 was extracted from the queue of IPA_CTRL. 09:00:02.350698 mtc BSC_Tests.ttcn:1049 Stop timer T: 5 s 09:00:02.350713 mtc BSC_Tests.ttcn:1052 Start timer T: 1 s 09:00:03.350844 mtc BSC_Tests.ttcn:1053 Timeout T: 1 s 09:00:03.350903 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "configure terminal" 09:00:03.350959 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:03.351131 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config)# " id 33 09:00:03.351193 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:03.351225 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:03.351260 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config)# " with pattern "[\w-]+\(*\)\# " matched 09:00:03.351275 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config)# " id 33 09:00:03.351289 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 33 was extracted from the queue of BSCVTY. 09:00:03.351301 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:03.351315 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "network" 09:00:03.351351 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:03.351426 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net)# " id 34 09:00:03.351455 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:03.351479 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:03.351510 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net)# " with pattern "[\w-]+\(*\)\# " matched 09:00:03.351523 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net)# " id 34 09:00:03.351535 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 34 was extracted from the queue of BSCVTY. 09:00:03.351546 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:03.351559 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "bts 0" 09:00:03.351585 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:03.351691 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 35 09:00:03.351734 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:03.351764 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:03.351798 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 09:00:03.351813 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 35 09:00:03.351826 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 35 was extracted from the queue of BSCVTY. 09:00:03.351838 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:03.351852 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "osmux off" 09:00:03.351879 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:03.351991 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC(config-net-bts)# " id 36 09:00:03.352037 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:03.352076 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:03.352111 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY succeeded: "OsmoBSC(config-net-bts)# " with pattern "[\w-]+\(*\)\# " matched 09:00:03.352125 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC(config-net-bts)# " id 36 09:00:03.352138 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 36 was extracted from the queue of BSCVTY. 09:00:03.352150 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:03.352163 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "end" 09:00:03.352193 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:03.352239 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 37 09:00:03.352267 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:03.352292 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:03.352305 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 37 09:00:03.352317 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 37 was extracted from the queue of BSCVTY. 09:00:03.352328 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:03.352341 mtc Osmocom_VTY_Functions.ttcn:333 "TC_common_id() start" 09:00:03.352365 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): TC_common_id() start" 09:00:03.352388 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:03.352524 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 38 09:00:03.352590 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:03.352608 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:03.352615 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 38 09:00:03.352621 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 38 was extracted from the queue of BSCVTY. 09:00:03.352627 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:03.352639 mtc Osmocom_Types.ttcn:118 Start timer T: 1 s 09:00:03.422379 1586 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 09:00:03.422439 1586 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 09:00:03.422457 1586 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 09:00:03.422467 1586 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 09:00:04.352782 mtc Osmocom_Types.ttcn:119 Timeout T: 1 s 09:00:04.352838 mtc BSC_Tests.ttcn:4044 Creating new PTC with component type MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_common_id. 09:00:04.357992 1591 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 09:00:04.358046 1591 - TTCN-3 Parallel Test Component started on 4aadd547cdc3. Component reference: TC_common_id(1591), component type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_common_id. Version: 9.0.0. 09:00:04.358058 1591 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | USER | VERDICTOP | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 09:00:04.358093 1591 - Connected to MC. 09:00:04.358103 1591 - Initializing variables, timers and ports of component type MSC_ConnectionHandler.MSC_ConnHdlr inside testcase TC_common_id. 09:00:04.358169 mtc BSC_Tests.ttcn:4044 PTC was created. Component reference: 1591, alive: no, type: MSC_ConnectionHandler.MSC_ConnHdlr, component name: TC_common_id. 09:00:04.358192 mtc BSC_Tests.ttcn:4011 Connecting ports TC_common_id(1591):RAN and VirtMSC-RAN(1582):PROC. 09:00:04.358288 1582 RAN_Emulation.ttcnpp:1249 Port PROC is waiting for connection from TC_common_id(1591):RAN on UNIX pathname /tmp/ttcn3-portconn-d342b02b. 09:00:04.361529 1591 - Port BSSAP_LE was started. 09:00:04.361575 1591 - Port BSSAP_LE_PROC was started. 09:00:04.361592 1591 - Port MGCP was started. 09:00:04.361601 1591 - Port MGCP_MULTI was started. 09:00:04.361610 1591 - Port MGCP_PROC was started. 09:00:04.361620 1591 - Port BSSAP was started. 09:00:04.361628 1591 - Port BSSAP_PROC was started. 09:00:04.361637 1591 - Port RSL was started. 09:00:04.361645 1591 - Port RSL_PROC was started. 09:00:04.361657 1591 - Port RSL1 was started. 09:00:04.361668 1591 - Port RSL1_PROC was started. 09:00:04.361677 1591 - Port RSL2 was started. 09:00:04.361684 1591 - Port RSL2_PROC was started. 09:00:04.361693 1591 - Port STATSD_PROC was started. 09:00:04.361700 1591 - Port RAN was started. 09:00:04.361709 1591 - Port BSCVTY was started. 09:00:04.361717 1591 - Port COORD was started. 09:00:04.361727 1591 - Port COORD2 was started. 09:00:04.361740 1591 - Port MGCP_MSC_CLIENT was started. 09:00:04.361749 1591 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was initialized. 09:00:04.361820 1591 - Port RAN has established the connection with VirtMSC-RAN(1582):PROC using transport type UNIX. 09:00:04.361909 1582 RAN_Emulation.ttcnpp:1249 Port PROC has accepted the connection from TC_common_id(1591):RAN. 09:00:04.362013 mtc BSC_Tests.ttcn:4011 Connect operation on TC_common_id(1591):RAN and VirtMSC-RAN(1582):PROC finished. 09:00:04.362127 mtc BSC_Tests.ttcn:4012 Connecting ports TC_common_id(1591):RSL and IPA-BTS0-TRX0-RSL-RSL(1590):CLIENT_PT. 09:00:04.362584 1590 RSL_Emulation.ttcn:496 Port CLIENT_PT is waiting for connection from TC_common_id(1591):RSL on UNIX pathname /tmp/ttcn3-portconn-bd09f83a. 09:00:04.362725 1591 - Port RSL has established the connection with IPA-BTS0-TRX0-RSL-RSL(1590):CLIENT_PT using transport type UNIX. 09:00:04.362948 1590 RSL_Emulation.ttcn:496 Port CLIENT_PT has accepted the connection from TC_common_id(1591):RSL. 09:00:04.363165 mtc BSC_Tests.ttcn:4012 Connect operation on TC_common_id(1591):RSL and IPA-BTS0-TRX0-RSL-RSL(1590):CLIENT_PT finished. 09:00:04.363213 mtc BSC_Tests.ttcn:4013 Connecting ports TC_common_id(1591):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1590):RSL_PROC. 09:00:04.363406 1590 RSL_Emulation.ttcn:496 Port RSL_PROC is waiting for connection from TC_common_id(1591):RSL_PROC on UNIX pathname /tmp/ttcn3-portconn-e44eae7d. 09:00:04.363502 1591 - Port RSL_PROC has established the connection with IPA-BTS0-TRX0-RSL-RSL(1590):RSL_PROC using transport type UNIX. 09:00:04.363619 1590 RSL_Emulation.ttcn:496 Port RSL_PROC has accepted the connection from TC_common_id(1591):RSL_PROC. 09:00:04.363639 mtc BSC_Tests.ttcn:4013 Connect operation on TC_common_id(1591):RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1590):RSL_PROC finished. 09:00:04.363661 mtc BSC_Tests.ttcn:4022 Connecting ports TC_common_id(1591):BSSAP and VirtMSC-RAN(1582):CLIENT. 09:00:04.363800 1582 RAN_Emulation.ttcnpp:1249 Port CLIENT is waiting for connection from TC_common_id(1591):BSSAP on UNIX pathname /tmp/ttcn3-portconn-9556e737. 09:00:04.363866 1591 - Port BSSAP has established the connection with VirtMSC-RAN(1582):CLIENT using transport type UNIX. 09:00:04.363911 1582 RAN_Emulation.ttcnpp:1249 Port CLIENT has accepted the connection from TC_common_id(1591):BSSAP. 09:00:04.363966 mtc BSC_Tests.ttcn:4022 Connect operation on TC_common_id(1591):BSSAP and VirtMSC-RAN(1582):CLIENT finished. 09:00:04.364049 mtc BSC_Tests.ttcn:4024 Connecting ports TC_common_id(1591):BSSAP_LE and VirtSMLC-BSSAP_LE(1585):CLIENT. 09:00:04.364288 1585 BSSAP_LE_Emulation.ttcn:522 Port CLIENT is waiting for connection from TC_common_id(1591):BSSAP_LE on UNIX pathname /tmp/ttcn3-portconn-a809ab6d. 09:00:04.364343 1591 - Port BSSAP_LE has established the connection with VirtSMLC-BSSAP_LE(1585):CLIENT using transport type UNIX. 09:00:04.364449 1585 BSSAP_LE_Emulation.ttcn:522 Port CLIENT has accepted the connection from TC_common_id(1591):BSSAP_LE. 09:00:04.364476 mtc BSC_Tests.ttcn:4024 Connect operation on TC_common_id(1591):BSSAP_LE and VirtSMLC-BSSAP_LE(1585):CLIENT finished. 09:00:04.364509 mtc BSC_Tests.ttcn:4025 Connecting ports TC_common_id(1591):BSSAP_LE_PROC and VirtSMLC-BSSAP_LE(1585):PROC. 09:00:04.364610 1585 BSSAP_LE_Emulation.ttcn:522 Port PROC is waiting for connection from TC_common_id(1591):BSSAP_LE_PROC on UNIX pathname /tmp/ttcn3-portconn-b25fb37f. 09:00:04.364645 1591 - Port BSSAP_LE_PROC has established the connection with VirtSMLC-BSSAP_LE(1585):PROC using transport type UNIX. 09:00:04.364680 1585 BSSAP_LE_Emulation.ttcn:522 Port PROC has accepted the connection from TC_common_id(1591):BSSAP_LE_PROC. 09:00:04.364697 mtc BSC_Tests.ttcn:4025 Connect operation on TC_common_id(1591):BSSAP_LE_PROC and VirtSMLC-BSSAP_LE(1585):PROC finished. 09:00:04.364711 mtc BSC_Tests.ttcn:4027 Connecting ports TC_common_id(1591):STATSD_PROC and VirtMSC-STATS(1580):STATSD_PROC. 09:00:04.364829 1580 StatsD_Checker.ttcnpp:135 Port STATSD_PROC is waiting for connection from TC_common_id(1591):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-964eae2f. 09:00:04.364865 1591 - Port STATSD_PROC has established the connection with VirtMSC-STATS(1580):STATSD_PROC using transport type UNIX. 09:00:04.364932 1580 StatsD_Checker.ttcnpp:135 Port STATSD_PROC has accepted the connection from TC_common_id(1591):STATSD_PROC. 09:00:04.364958 mtc BSC_Tests.ttcn:4027 Connect operation on TC_common_id(1591):STATSD_PROC and VirtMSC-STATS(1580):STATSD_PROC finished. 09:00:04.364993 mtc BSC_Tests.ttcn:4028 Connecting ports TC_common_id(1591):MGCP_PROC and VirtMGW-MGCP-0(1588):MGCP_PROC. 09:00:04.365117 1588 MGCP_Emulation.ttcn:290 Port MGCP_PROC is waiting for connection from TC_common_id(1591):MGCP_PROC on UNIX pathname /tmp/ttcn3-portconn-b64aaa2f. 09:00:04.365157 1591 - Port MGCP_PROC has established the connection with VirtMGW-MGCP-0(1588):MGCP_PROC using transport type UNIX. 09:00:04.365186 1588 MGCP_Emulation.ttcn:290 Port MGCP_PROC has accepted the connection from TC_common_id(1591):MGCP_PROC. 09:00:04.365205 mtc BSC_Tests.ttcn:4028 Connect operation on TC_common_id(1591):MGCP_PROC and VirtMGW-MGCP-0(1588):MGCP_PROC finished. 09:00:04.365221 mtc BSC_Tests.ttcn:4029 Connecting ports TC_common_id(1591):MGCP and VirtMGW-MGCP-0(1588):MGCP_CLIENT. 09:00:04.365301 1588 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT is waiting for connection from TC_common_id(1591):MGCP on UNIX pathname /tmp/ttcn3-portconn-ac50a566. 09:00:04.365352 1591 - Port MGCP has established the connection with VirtMGW-MGCP-0(1588):MGCP_CLIENT using transport type UNIX. 09:00:04.365378 1588 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT has accepted the connection from TC_common_id(1591):MGCP. 09:00:04.365434 mtc BSC_Tests.ttcn:4029 Connect operation on TC_common_id(1591):MGCP and VirtMGW-MGCP-0(1588):MGCP_CLIENT finished. 09:00:04.365525 mtc BSC_Tests.ttcn:4030 Connecting ports TC_common_id(1591):MGCP_MULTI and VirtMGW-MGCP-0(1588):MGCP_CLIENT_MULTI. 09:00:04.365635 1588 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI is waiting for connection from TC_common_id(1591):MGCP_MULTI on UNIX pathname /tmp/ttcn3-portconn-ac18a52e. 09:00:04.365685 1591 - Port MGCP_MULTI has established the connection with VirtMGW-MGCP-0(1588):MGCP_CLIENT_MULTI using transport type UNIX. 09:00:04.365702 1588 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI has accepted the connection from TC_common_id(1591):MGCP_MULTI. 09:00:04.365733 mtc BSC_Tests.ttcn:4030 Connect operation on TC_common_id(1591):MGCP_MULTI and VirtMGW-MGCP-0(1588):MGCP_CLIENT_MULTI finished. 09:00:04.365775 mtc BSC_Tests.ttcn:4052 Starting function f_handler_init(refers(BSC_Tests.f_tc_common_id), "TC_common_id", omit) on component TC_common_id(1591). 09:00:04.365862 mtc BSC_Tests.ttcn:4052 Function was started. 09:00:04.366212 1591 - Starting function f_handler_init(refers(BSC_Tests.f_tc_common_id), "TC_common_id", omit). 09:00:04.367335 1591 Osmocom_Types.ttcn:65 Random number generator was initialized with seed 2274.367327: srand48(-187282873). 09:00:04.367390 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.988904. 09:00:04.367517 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.317945. 09:00:04.367536 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.589152. 09:00:04.367547 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.421235. 09:00:04.367557 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.771944. 09:00:04.367567 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.153499. 09:00:04.367578 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.737296. 09:00:04.367588 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.857119. 09:00:04.367598 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.825508. 09:00:04.367608 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.183635. 09:00:04.367646 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.932886. 09:00:04.367661 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.107236. 09:00:04.367685 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.862056. 09:00:04.367695 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.377286. 09:00:04.367705 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.326947. 09:00:04.367716 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.898516. 09:00:04.367725 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.135447. 09:00:04.367736 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.656855. 09:00:04.367746 1591 Osmocom_Types.ttcn:65 Function rnd() returned 0.765272. 09:00:04.367778 1591 BSC_Tests.ttcn:768 "TC_common_id": using IMSI '001019354717881'H 09:00:04.368190 1591 MSC_ConnectionHandler.ttcn:576 Mapping port TC_common_id(1591):BSCVTY to system:BSCVTY. 09:00:04.369099 1591 MSC_ConnectionHandler.ttcn:576 Message enqueued on BSCVTY from system integer : 2 id 1 09:00:04.369238 1591 MSC_ConnectionHandler.ttcn:576 Port BSCVTY was mapped to system:BSCVTY. 09:00:04.369305 1591 MSC_ConnectionHandler.ttcn:576 Map operation of TC_common_id(1591):BSCVTY to system:BSCVTY finished. 09:00:04.369569 1591 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoBSC> ", has_wildcards := false } } 09:00:04.369679 1591 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoBSC# ", has_wildcards := false } } 09:00:04.369707 1591 Osmocom_VTY_Functions.ttcn:59 Sent on BSCVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoBSC(*)", has_wildcards := true } } 09:00:04.369883 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "enable" 09:00:04.369954 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:04.370008 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:04.370015 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:04.370019 1591 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:04.370023 1591 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:04.370039 1591 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY failed: Type of the first message in the queue is not charstring. 09:00:04.370096 1591 Osmocom_VTY_Functions.ttcn:86 Matching on port BSCVTY succeeded: 2 with ? matched 09:00:04.370118 1591 Osmocom_VTY_Functions.ttcn:86 Receive operation on port BSCVTY succeeded, message from system(): integer : 2 id 1 09:00:04.370131 1591 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of BSCVTY. 09:00:04.370202 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 2 09:00:04.370265 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.370288 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:04.370293 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 2 09:00:04.370313 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of BSCVTY. 09:00:04.370337 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:04.371308 1591 MSC_ConnectionHandler.ttcn:889 enc_PDU_ML3_MS_NW(): Encoding @MobileL3_Types.PDU_ML3_MS_NW: { discriminator := '0000'B, tiOrSkip := { skipIndicator := '0000'B }, msgs := { mm := { cMServiceRequest := { messageType := '000000'B, nsd := '00'B, cm_ServiceType := '0001'B, cipheringKeySequenceNumber := { keySequence := '000'B, spare := '0'B }, mobileStationClassmark2 := { lengthIndicator := 0, rf_PowerCapability := '000'B, a5_1 := '0'B, esind := '1'B, revisionLevel := '10'B, spare1_1 := '0'B, mobileStationClassmark2_oct4 := { fc := '1'B, vgcs := '0'B, vbs := '0'B, sm_Capability := '1'B, ss_ScreenIndicator := '01'B, ps_Capability := '1'B, spare2_1 := '0'B }, mobileStationClassmark2_oct5 := { a5_2 := '0'B, a5_3 := '1'B, cmsp := '0'B, solsa := '0'B, ucs2 := '0'B, lcsva_cap := '0'B, spare5_7 := '0'B, cm3 := '0'B } }, mobileIdentity := { lengthIndicator := 0, mobileIdentityV := { typeOfIdentity := '001'B, oddEvenInd_identity := { imsi := { oddevenIndicator := '1'B, digits := '001019354717881'H, fillerDigit := omit } } } }, priorityLevel := omit, additionalUpdateParameterTV := omit, deviceProperties := omit } } } } 09:00:04.371837 1591 MSC_ConnectionHandler.ttcn:889 enc_PDU_ML3_MS_NW(): Stream after encoding: '05240103505902080910103945178718'O 09:00:04.372543 1591 MSC_ConnectionHandler.ttcn:655 Called on RAN to VirtMSC-RAN(1582) @RAN_Emulation.RAN_register : { l3 := '05240103505902080910103945178718'O, hdlr := TC_common_id(1591) } 09:00:04.372721 1582 RAN_Emulation.ttcnpp:1249 Call enqueued on PROC from TC_common_id(1591) @RAN_Emulation.RAN_register : { l3 := '05240103505902080910103945178718'O, hdlr := TC_common_id(1591) } id 1 09:00:04.372820 1582 RAN_Emulation.ttcnpp:951 Matching on port PROC failed: The first entity in the queue is not a call for signature @RAN_Emulation.RAN_last_n_sd. 09:00:04.372834 1582 RAN_Emulation.ttcnpp:957 Matching on port PROC failed: The first entity in the queue is not a call for signature @RAN_Emulation.RAN_continue_after_n_sd. 09:00:04.372908 1582 RAN_Emulation.ttcnpp:1274 Matching on port PROC succeeded: { l3 := '05240103505902080910103945178718'O with ? matched, hdlr := TC_common_id(1591) with ? matched } 09:00:04.372960 1582 RAN_Emulation.ttcnpp:1274 Getcall operation on port PROC succeeded, call from TC_common_id(1591): @RAN_Emulation.RAN_register : { l3 := '05240103505902080910103945178718'O, hdlr := TC_common_id(1591) } id 1 09:00:04.372991 1582 RAN_Emulation.ttcnpp:1274 Operation with id 1 was extracted from the queue of PROC. 09:00:04.373006 1582 RAN_Emulation.ttcnpp:1452 f_create_expect(l3 := '05240103505902080910103945178718'O, n_connectPointCode := omit 09:00:04.373168 1582 RAN_Emulation.ttcnpp:1469 Created Expect[0] for '05240103505902080910103945178718'O to be handled at TC_common_id(1591) 09:00:04.373272 1582 RAN_Emulation.ttcnpp:1276 Replied on PROC to TC_common_id(1591) @RAN_Emulation.RAN_register : { } 09:00:04.373474 1591 MSC_ConnectionHandler.ttcn:655 Reply enqueued on RAN from VirtMSC-RAN(1582) @RAN_Emulation.RAN_register : { } id 1 09:00:04.373637 1591 MSC_ConnectionHandler.ttcn:656 Matching on port RAN succeeded: { } with { } matched 09:00:04.373751 1591 MSC_ConnectionHandler.ttcn:656 Getreply operation on port RAN succeeded, reply from VirtMSC-RAN(1582): @RAN_Emulation.RAN_register : { } id 1 09:00:04.373821 1591 MSC_ConnectionHandler.ttcn:656 Operation with id 1 was extracted from the queue of RAN. 09:00:04.374578 1591 RSL_Emulation.ttcn:159 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } 09:00:04.375045 1590 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_common_id(1591) @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 09:00:04.375239 1590 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT succeeded: matched 09:00:04.375266 1590 RSL_Emulation.ttcn:628 Receive operation on port CLIENT_PT succeeded, message from TC_common_id(1591): @RSL_Emulation.RSLDC_ChanRqd : { ra := '23'O ("#"), fn := 23 } id 1 09:00:04.375290 1590 RSL_Emulation.ttcn:628 Message with id 1 was extracted from the queue of CLIENT_PT. 09:00:04.375433 1591 RSL_Emulation.ttcn:120 Start timer T: 10 s 09:00:04.375750 1590 RSL_Emulation.ttcn:631 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } 09:00:04.375908 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 09:00:04.375971 1589 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:00:04.375989 1589 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } } id 2 09:00:04.376003 1589 IPA_Emulation.ttcnpp:913 Message with id 2 was extracted from the queue of IPA_RSL_PORT. 09:00:04.376015 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_CHAN_RQD (19), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_RACH (17) }, tn := 0 } } }, { iei := RSL_IE_REQ_REFERENCE (19), body := { req_ref := { ra := '23'O ("#"), frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } }, { iei := RSL_IE_ACCESS_DELAY (17), body := { access_delay := 0 } } } } 09:00:04.376096 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0C130188132302F71100'O 09:00:04.376118 1589 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C130188132302F71100'O } 09:00:04.376133 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C130188132302F71100'O } 09:00:04.376149 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000A000C130188132302F71100'O 09:00:04.376161 1589 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000A000C130188132302F71100'O } 09:00:04.376603 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001C00082101200300060403030100050664204367720004000D0718001F00'O } id 16 09:00:04.376613 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001C00082101200300060403030100050664204367720004000D0718001F00'O 09:00:04.376624 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 28, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082101200300060403030100050664204367720004000D0718001F00'O } 09:00:04.376978 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 09:00:04.376992 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:04.377000 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:04.377006 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082101200300060403030100050664204367720004000D0718001F00'O } id 16 09:00:04.377012 1589 IPA_Emulation.ttcnpp:753 Message with id 16 was extracted from the queue of IPA_PORT. 09:00:04.377017 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082101200300060403030100050664204367720004000D0718001F00'O 09:00:04.377304 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } 09:00:04.377983 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 09:00:04.378432 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 09:00:04.378466 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.378473 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.378477 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.378513 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:04.378540 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_CHAN_ACTIV (33) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:04.378550 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:04.378558 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:00:04.378571 1590 RSL_Emulation.ttcn:594 Matching on port IPA_PT succeeded: matched 09:00:04.378582 1590 RSL_Emulation.ttcn:594 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 16 09:00:04.378591 1590 RSL_Emulation.ttcn:594 Message with id 16 was extracted from the queue of IPA_PT. 09:00:04.378825 1590 RSL_Emulation.ttcn:600 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } 09:00:04.378972 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 09:00:04.379039 1589 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:00:04.379066 1589 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } } id 3 09:00:04.379077 1589 IPA_Emulation.ttcnpp:913 Message with id 3 was extracted from the queue of IPA_RSL_PORT. 09:00:04.379086 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV_ACK (34), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_FRAME_NUMBER (8), body := { frame_nr := { t1_p := 0, t3 := 23, t2 := 23 } } } } } 09:00:04.379155 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '082201200802F7'O 09:00:04.379177 1589 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082201200802F7'O } 09:00:04.379193 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082201200802F7'O } 09:00:04.379209 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '000700082201200802F7'O 09:00:04.379221 1589 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '000700082201200802F7'O } 09:00:04.379476 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 09:00:04.379488 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '001D000C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 09:00:04.379502 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 29, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } 09:00:04.379517 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 09:00:04.379530 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:04.379541 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:04.379551 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } id 17 09:00:04.379561 1589 IPA_Emulation.ttcnpp:753 Message with id 17 was extracted from the queue of IPA_PORT. 09:00:04.379569 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0C1601902B172D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 09:00:04.379617 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } 09:00:04.379690 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } 09:00:04.379730 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 09:00:04.379741 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.379745 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.379749 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.379766 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT succeeded: matched 09:00:04.379773 1590 RSL_Emulation.ttcn:526 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O } } } } } } id 17 09:00:04.379782 1590 RSL_Emulation.ttcn:526 Message with id 17 was extracted from the queue of IPA_PT. 09:00:04.379850 1590 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700002B2B2B2B2B2B2B2B2B2B2B'O 09:00:04.380257 1590 RSL_Emulation.ttcn:530 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '0'B }, lh := omit, hl := omit, hh := omit } } } } 09:00:04.380539 1590 RSL_Emulation.ttcn:547 Sent on CLIENT_PT to TC_common_id(1591) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } 09:00:04.380865 1591 RSL_Emulation.ttcn:121 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 09:00:04.381070 1591 RSL_Emulation.ttcn:122 Matching on port RSL succeeded: matched 09:00:04.381090 1591 RSL_Emulation.ttcn:122 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_CCHAN (6), transparent := false }, msg_type := RSL_MT_IMMEDIATE_ASSIGN_CMD (22), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := 0 } } }, { iei := RSL_IE_FULL_IMM_ASS_INFO (43), body := { full_imm_ass_info := { len := 23, payload := '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O } } } } } id 1 09:00:04.381099 1591 RSL_Emulation.ttcn:122 Message with id 1 was extracted from the queue of RSL. 09:00:04.381112 1591 RSL_Emulation.ttcn:123 Stop timer T: 10 s 09:00:04.381186 1591 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Stream before decoding: '2D063F032043672302F700000B2B2B2B2B2B2B2B2B2B2B'O 09:00:04.381564 1591 RSL_Emulation.ttcn:163 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := false }, page_mode := PAGE_MODE_SAME_AS_BEFORE (3), chan_desc := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit }, pkt_chan_desc := omit, req_ref := { ra := '00100011'B, t1p := 0, t3 := 23, t2 := 23 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '00'B, ll := { compressed_irat_ho_info_ind := '1'B }, lh := omit, hl := omit, hh := omit } } } } 09:00:04.382061 1591 RSL_Emulation.ttcn:170 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } 09:00:04.382220 1590 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_common_id(1591) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } id 2 09:00:04.382225 1591 RSL_Emulation.ttcn:809 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } 09:00:04.382276 1590 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 09:00:04.382357 1590 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 09:00:04.382370 1590 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_common_id(1591): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } id 2 09:00:04.382377 1590 RSL_Emulation.ttcn:640 Message with id 2 was extracted from the queue of CLIENT_PT. 09:00:04.382507 1590 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } } 09:00:04.382620 1590 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_common_id(1591) @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 09:00:04.382654 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } } id 4 09:00:04.382657 1590 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 09:00:04.382664 1590 RSL_Emulation.ttcn:675 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_unregister. 09:00:04.382670 1590 RSL_Emulation.ttcn:681 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 09:00:04.382675 1590 RSL_Emulation.ttcn:687 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_suspend. 09:00:04.382680 1590 RSL_Emulation.ttcn:693 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 09:00:04.382685 1590 RSL_Emulation.ttcn:708 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_wait_queue. 09:00:04.382706 1590 RSL_Emulation.ttcn:713 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched } 09:00:04.382713 1589 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:00:04.382738 1589 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } } id 4 09:00:04.382758 1589 IPA_Emulation.ttcnpp:913 Message with id 4 was extracted from the queue of IPA_RSL_PORT. 09:00:04.382758 1590 RSL_Emulation.ttcn:713 Getcall operation on port RSL_PROC succeeded, call from TC_common_id(1591): @RSL_Emulation.RSLEM_get_last_act : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } id 1 09:00:04.382770 1590 RSL_Emulation.ttcn:713 Operation with id 1 was extracted from the queue of RSL_PROC. 09:00:04.382771 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := false }, msg_type := RSL_MT_EST_IND (6), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 0, payload := '05240103505902080910103945178718'O } } } } } 09:00:04.382857 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '0206012002000B001005240103505902080910103945178718'O 09:00:04.382887 1589 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0206012002000B001005240103505902080910103945178718'O } 09:00:04.382904 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0206012002000B001005240103505902080910103945178718'O } 09:00:04.382927 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '0019000206012002000B001005240103505902080910103945178718'O 09:00:04.382964 1589 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '0019000206012002000B001005240103505902080910103945178718'O } 09:00:04.383249 1590 RSL_Emulation.ttcn:715 Replied on RSL_PROC to TC_common_id(1591) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } 09:00:04.383859 1591 RSL_Emulation.ttcn:809 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 09:00:04.383912 1591 RSL_Emulation.ttcn:810 Matching on port RSL_PROC succeeded: { chan_act := matched } 09:00:04.383994 1591 RSL_Emulation.ttcn:810 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1590): @RSL_Emulation.RSLEM_get_last_act : { chan_act := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_CHAN_ACTIV (33), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_ACT_TYPE (3), body := { act_type := { reactivation := false, reserved := 0, a_bits := ACT_REL_TO_IMM_ASSIGN (0) } } }, { iei := RSL_IE_CHAN_MODE (6), body := { chan_mode := { len := 4, reserved := '000000'B, dtx_d := true, dtx_u := true, spd_ind := RSL_SPDI_SIGN (3), ch_rate_type := RSL_CHRT_SDCCH (1), u := { sign := RSL_CMOD_NO_RESOURCE (0) } } } }, { iei := RSL_IE_CHAN_IDENT (5), body := { chan_ident := { len := 6, ch_desc := { iei := '64'O ("d"), v := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, tsc := 2, h := false, arfcn := 871, maio_hsn := omit } }, ma := { iei := '72'O ("r"), v := { len := 0, ma := ''B } } } } }, { iei := RSL_IE_BS_POWER (4), body := { bs_power := { reserved := 0, epc := false, fpc := false, power_level := 0 } } }, { iei := RSL_IE_MS_POWER (13), body := { ms_power := { reserved := 0, fpc_epc := false, power_level := 7 } } }, { iei := RSL_IE_TIMING_ADVANCE (24), body := { timing_adv := 0 } }, { iei := RSL_IE_MS_POWER_PARAM (31), body := { ms_power_params := { len := 0, params := ''O } } } } } } id 1 09:00:04.384018 1591 RSL_Emulation.ttcn:810 Operation with id 1 was extracted from the queue of RSL_PROC. 09:00:04.384223 1591 MSC_ConnectionHandler.ttcn:907 Start timer T: 2 s 09:00:04.384863 1581 SCCP_Emulation.ttcn:1400 Warning: Stopping inactive timer T_ias[0]. 09:00:04.384945 1582 RAN_Emulation.ttcnpp:1249 Message enqueued on BSSAP from VirtMSC-SCCP(1581) @SCCPasp_Types.ASP_SCCP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := '00285705080000F110000100001710052401035059020809101039451787187D09808283045781840407'O, connectionId := 11385800, importance := omit } id 3 09:00:04.385017 1582 BSSAP_CodecPort.ttcn:233 dec_PDU_BSSAP(): Stream before decoding: '00285705080000F110000100001710052401035059020809101039451787187D09808283045781840407'O 09:00:04.385947 1582 BSSAP_CodecPort.ttcn:233 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910103945178718'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } 09:00:04.386397 1582 RAN_Emulation.ttcnpp:1249 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910103945178718'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } }, connectionId := 11385800, importance := omit } id 3 09:00:04.386432 1582 RAN_Emulation.ttcnpp:856 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 09:00:04.386450 1582 RAN_Emulation.ttcnpp:866 Matching on port BSSAP succeeded: matched 09:00:04.386469 1582 RAN_Emulation.ttcnpp:866 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1581): @BSSAP_CodecPort.BSSAP_N_CONNECT_ind : { calledAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111001'B, subsystemNumber := 254, globalTitle := omit }, callingAddress := { addressIndicator := { pointCodeIndic := '1'B, ssnIndicator := '1'B, globalTitleIndic := '0000'B, routingIndicator := '1'B }, signPointCode := '00000010111011'B, subsystemNumber := 254, globalTitle := omit }, qualityOfService := omit, userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910103945178718'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } }, connectionId := 11385800, importance := omit } id 3 09:00:04.386481 1582 RAN_Emulation.ttcnpp:866 Message with id 3 was extracted from the queue of BSSAP. 09:00:04.386552 1582 RAN_Emulation.ttcnpp:1356 ExpectedCreateCallback completeLayer3Information 09:00:04.386588 1582 RAN_Emulation.ttcnpp:1397 Found Expect[0] for '05240103505902080910103945178718'O handled at TC_common_id(1591) 09:00:04.386630 1582 RAN_Emulation.ttcnpp:406 Added conn table entry 0TC_common_id(1591)11385800 09:00:04.386674 1582 RAN_Emulation.ttcnpp:485 Sent on CLIENT to TC_common_id(1591) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910103945178718'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } 09:00:04.386884 1582 RAN_Emulation.ttcnpp:873 Sent on BSSAP to VirtMSC-SCCP(1581) @BSSAP_CodecPort.BSSAP_N_CONNECT_res : { respondingAddress := omit, expeditedDataSel := omit, qualityOfService := omit, userData := omit, connectionId := 11385800, importance := omit } 09:00:04.386915 1582 RAN_Emulation.ttcnpp:873 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_CONNECT_res : { respondingAddress := omit, expeditedDataSel := omit, qualityOfService := omit, userData := omit, connectionId := 11385800, importance := omit } 09:00:04.387223 1581 SCCP_Emulation.ttcn:1298 Warning: Re-starting timer T_ias[0], which is already active (running or expired). 09:00:04.387509 1591 MSC_ConnectionHandler.ttcn:908 Message enqueued on BSSAP from VirtMSC-RAN(1582) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910103945178718'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } id 1 09:00:04.388066 1591 MSC_ConnectionHandler.ttcn:909 Matching on port BSSAP succeeded: matched 09:00:04.388101 1591 MSC_ConnectionHandler.ttcn:909 Receive operation on port BSSAP succeeded, message from VirtMSC-RAN(1582): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 40, pdu := { bssmap := { completeLayer3Information := { messageType := '57'O ("W"), cellIdentifier := { elementIdentifier := '05'O, lengthIndicator := 8, cellIdentifierDiscriminator := '0000'B, spare1_4 := '0000'B, cellIdentification := { cI_CGI := { mcc_mnc := '00F110'O, lac := '0001'O, ci := '0000'O } } }, layer3Information := { elementIdentifier := '17'O, lengthIndicator := 16, layer3info := '05240103505902080910103945178718'O }, chosenChannel := omit, lSAIdentifier := omit, aPDU := omit, codecList := { elementIdentifier := '7D'O ("}"), lengthIndicator := 9, codecElements := { { codecType := GSM_FR (0), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := GSM_EFR (2), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := FR_AMR (3), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '01010111'B }, { codecType := GSM_HR (1), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := omit, s8_15 := omit }, { codecType := HR_AMR (4), tF := '0'B, pT := '0'B, pI := '0'B, fI := '1'B, extendedCodecType := omit, s0_7 := '00000100'B, s8_15 := '00000111'B } } }, redirectAttemptFlag := omit, sendSequenceNumber := omit, iMSI := omit } } } } id 1 09:00:04.388144 1591 MSC_ConnectionHandler.ttcn:909 Message with id 1 was extracted from the queue of BSSAP. 09:00:04.388707 1591 BSC_Tests.ttcn:5585 Sent on BSSAP to VirtMSC-RAN(1582) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { commonID := { messageType := '2F'O ("/"), iMSI := { elementIdentifier := '08'O ("\b"), lengthIndicator := 0, typeOfIdentity := '001'B, oddEvenIndicator := '1'B, digits := '001019354717881'H }, sNAAccessInformation := omit, selectedPLMNId := omit, lastUsedEUTRANPLMNId := omit } } } } 09:00:04.388910 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show conns" 09:00:04.388950 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:04.389065 1582 RAN_Emulation.ttcnpp:1249 Message enqueued on CLIENT from TC_common_id(1591) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { commonID := { messageType := '2F'O ("/"), iMSI := { elementIdentifier := '08'O ("\b"), lengthIndicator := 0, typeOfIdentity := '001'B, oddEvenIndicator := '1'B, digits := '001019354717881'H }, sNAAccessInformation := omit, selectedPLMNId := omit, lastUsedEUTRANPLMNId := omit } } } } id 1 09:00:04.389112 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" id 3 09:00:04.389126 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 4 09:00:04.389167 1582 RAN_Emulation.ttcnpp:904 Matching on port CLIENT succeeded: matched 09:00:04.389170 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.389191 1582 RAN_Emulation.ttcnpp:904 Receive operation on port CLIENT succeeded, message from TC_common_id(1591): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { commonID := { messageType := '2F'O ("/"), iMSI := { elementIdentifier := '08'O ("\b"), lengthIndicator := 0, typeOfIdentity := '001'B, oddEvenIndicator := '1'B, digits := '001019354717881'H }, sNAAccessInformation := omit, selectedPLMNId := omit, lastUsedEUTRANPLMNId := omit } } } } id 1 09:00:04.389203 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:04.389211 1582 RAN_Emulation.ttcnpp:904 Message with id 1 was extracted from the queue of CLIENT. 09:00:04.389233 1591 Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 09:00:04.389266 1591 Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 09:00:04.389300 1591 Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" with ? matched 09:00:04.389319 1591 Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "Active subscriber connections: \nconn ID=110, MSC=0, hodec2_fail=0, mgw_ep=NULL\nBTS 0, TRX 0, Timeslot 0, Lchan 0: Type SDCCH\n Active for: 0.005 seconds\n Connection: 1, State: ESTABLISHED\n BS Power: 3 dBm, MS Power: 16 dBm\n Interference Level: unknown\n Channel Mode / Codec: SIGNALLING\n Training Sequence: Set 1 Code 2\n Subscriber:\n IMSI: 001019354717881\n Use count: 1 (conn)\n Measurement Report:\n Flags: DLinval \n RXL-FULL-ul: -110 dBm, RXL-SUB-ul: -110 dBm RXQ-FULL-ul: 0, RXQ-SUB-ul: 0" id 3 09:00:04.389330 1591 Osmocom_VTY_Functions.ttcn:85 Message with id 3 was extracted from the queue of BSCVTY. 09:00:04.389346 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.389366 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:04.389372 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 4 09:00:04.389378 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of BSCVTY. 09:00:04.389385 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:04.389408 1591 Osmocom_VTY_Functions.ttcn:210 regexp(): POSIX ERE equivalent of pattern "*(IMSI: 001019354717881)*" is: "^.*(IMSI: 001019354717881).*$" 09:00:04.389493 1582 RAN_Emulation.ttcnpp:907 Sent on BSSAP to VirtMSC-SCCP(1581) @BSSAP_CodecPort.BSSAP_N_DATA_req : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { commonID := { messageType := '2F'O ("/"), iMSI := { elementIdentifier := '08'O ("\b"), lengthIndicator := 0, typeOfIdentity := '001'B, oddEvenIndicator := '1'B, digits := '001019354717881'H }, sNAAccessInformation := omit, selectedPLMNId := omit, lastUsedEUTRANPLMNId := omit } } } }, connectionId := 11385800, importance := omit } 09:00:04.389509 1582 BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { commonID := { messageType := '2F'O ("/"), iMSI := { elementIdentifier := '08'O ("\b"), lengthIndicator := 0, typeOfIdentity := '001'B, oddEvenIndicator := '1'B, digits := '001019354717881'H }, sNAAccessInformation := omit, selectedPLMNId := omit, lastUsedEUTRANPLMNId := omit } } } } 09:00:04.389539 1591 BSC_Tests.ttcn:5591 setverdict(pass): none -> pass 09:00:04.389576 1591 BSC_Tests.ttcn:8537 Altstep as_mgcp_ack_all_dlcx was activated as default, id 1 09:00:04.389577 1582 BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Stream after encoding: '000B2F08080910103945178718'O 09:00:04.389592 1591 BSC_Tests.ttcn:8538 Altstep as_rsl_ack_all_rel_req was activated as default, id 2 09:00:04.389594 1582 RAN_Emulation.ttcnpp:907 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DATA_req : { userData := '000B2F08080910103945178718'O, connectionId := 11385800, importance := omit } 09:00:04.389606 1591 Osmocom_VTY_Functions.ttcn:333 "MSC instructs BSC to clear channel" 09:00:04.389635 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): MSC instructs BSC to clear channel" 09:00:04.389656 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:04.389937 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 5 09:00:04.389969 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.389984 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:04.389989 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 5 09:00:04.389994 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of BSCVTY. 09:00:04.390000 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:04.390173 1591 BSC_Tests.ttcn:8540 Sent on BSSAP to VirtMSC-RAN(1582) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } 09:00:04.390274 1582 RAN_Emulation.ttcnpp:1249 Message enqueued on CLIENT from TC_common_id(1591) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } id 2 09:00:04.390325 1582 RAN_Emulation.ttcnpp:904 Matching on port CLIENT succeeded: matched 09:00:04.390334 1582 RAN_Emulation.ttcnpp:904 Receive operation on port CLIENT succeeded, message from TC_common_id(1591): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } id 2 09:00:04.390345 1582 RAN_Emulation.ttcnpp:904 Message with id 2 was extracted from the queue of CLIENT. 09:00:04.390439 1582 RAN_Emulation.ttcnpp:907 Sent on BSSAP to VirtMSC-SCCP(1581) @BSSAP_CodecPort.BSSAP_N_DATA_req : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } }, connectionId := 11385800, importance := omit } 09:00:04.390454 1582 BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Encoding @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 0, pdu := { bssmap := { clearCommand := { messageType := '20'O (" "), layer3HeaderInfo := omit, cause := { elementIdentifier := '04'O, lengthIndicator := 0, causeValue := '0000000'B, extensionCauseValue := '0'B, spare1 := omit }, cSFB_Indication := omit } } } } 09:00:04.390478 1582 BSSAP_CodecPort.ttcn:327 enc_PDU_BSSAP(): Stream after encoding: '000420040100'O 09:00:04.390488 1582 RAN_Emulation.ttcnpp:907 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DATA_req : { userData := '000420040100'O, connectionId := 11385800, importance := omit } 09:00:04.590931 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000C000301012002000B0003060D6F'O } id 18 09:00:04.590972 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000C000301012002000B0003060D6F'O 09:00:04.590987 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 12, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '0301012002000B0003060D6F'O } 09:00:04.590997 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D6F'O } id 18 09:00:04.591004 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '00040008250120'O } id 19 09:00:04.591010 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '00040008250120'O 09:00:04.591014 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08250120'O } 09:00:04.591020 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 09:00:04.591034 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:04.591043 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:04.591048 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '0301012002000B0003060D6F'O } id 18 09:00:04.591054 1589 IPA_Emulation.ttcnpp:753 Message with id 18 was extracted from the queue of IPA_PORT. 09:00:04.591059 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '0301012002000B0003060D6F'O 09:00:04.591118 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } 09:00:04.591225 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } } 09:00:04.591242 1582 RAN_Emulation.ttcnpp:1249 Message enqueued on BSSAP from VirtMSC-SCCP(1581) @SCCPasp_Types.ASP_SCCP_N_DATA_ind : { userData := '000121'O, connectionId := 11385800, importance := omit } id 4 09:00:04.591264 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:04.591272 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:04.591277 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08250120'O } id 19 09:00:04.591280 1582 BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Stream before decoding: '000121'O 09:00:04.591286 1589 IPA_Emulation.ttcnpp:753 Message with id 19 was extracted from the queue of IPA_PORT. 09:00:04.591291 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '08250120'O 09:00:04.591299 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 09:00:04.591316 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 09:00:04.591323 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } } id 18 09:00:04.591375 1582 BSSAP_CodecPort.ttcn:256 dec_PDU_BSSAP(): Decoded @BSSAP_Types.PDU_BSSAP: { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } 09:00:04.591381 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.591396 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.591409 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.591419 1582 RAN_Emulation.ttcnpp:1249 Incoming message was mapped to @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } }, connectionId := 11385800, importance := omit } id 4 09:00:04.591435 1582 RAN_Emulation.ttcnpp:856 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_ind. 09:00:04.591440 1582 RAN_Emulation.ttcnpp:866 Matching on port BSSAP failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_CONNECT_ind. 09:00:04.591450 1582 RAN_Emulation.ttcnpp:876 Matching on port BSSAP succeeded: matched 09:00:04.591457 1582 RAN_Emulation.ttcnpp:876 Receive operation on port BSSAP succeeded, message from VirtMSC-SCCP(1581): @BSSAP_CodecPort.BSSAP_N_DATA_ind : { userData := { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } }, connectionId := 11385800, importance := omit } id 4 09:00:04.591466 1582 RAN_Emulation.ttcnpp:876 Message with id 4 was extracted from the queue of BSSAP. 09:00:04.591501 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:04.591503 1582 RAN_Emulation.ttcnpp:485 Sent on CLIENT to TC_common_id(1591) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } 09:00:04.591570 1591 BSC_Tests.ttcn:8541 Message enqueued on BSSAP from VirtMSC-RAN(1582) @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } id 2 09:00:04.591583 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched.rsl.msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:04.591615 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:04.591634 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:00:04.591653 1590 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MDISC_RLL (1) with RSL_MDISC_DCHAN (4) unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 09:00:04.591675 1590 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 09:00:04.591692 1591 BSC_Tests.ttcn:8548 Matching on port BSSAP succeeded: matched 09:00:04.591694 1590 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } } id 18 09:00:04.591704 1591 BSC_Tests.ttcn:8548 Receive operation on port BSSAP succeeded, message from VirtMSC-RAN(1582): @BSSAP_Types.PDU_BSSAP : { discriminator := '0'B, spare := '0000000'B, dlci := omit, lengthIndicator := 1, pdu := { bssmap := { clearComplete := { messageType := '21'O ("!") } } } } id 2 09:00:04.591711 1591 BSC_Tests.ttcn:8548 Message with id 2 was extracted from the queue of BSSAP. 09:00:04.591712 1590 RSL_Emulation.ttcn:606 Message with id 18 was extracted from the queue of IPA_PT. 09:00:04.591717 1591 Osmocom_VTY_Functions.ttcn:333 "Got BSSMAP Clear Complete" 09:00:04.591734 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got BSSMAP Clear Complete" 09:00:04.591761 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:04.591765 1590 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_common_id(1591) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } 09:00:04.591845 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } id 2 09:00:04.591852 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 09:00:04.591883 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.591895 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.591906 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:04.591937 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:04.591975 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:04.592000 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:04.592018 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:00:04.592033 1591 BSC_Tests.ttcn:8527 Matching on port RSL .msg_disc.transparent := true with false unmatchedRSL_MT_DATA_REQ (1) with RSL_MT_REL_REQ (7) unmatched.ies[2].body{ l3_info := { len := 3, payload := '060D6F'O } } with { release_mode := ? } unmatched: First message in the queue does not match the template: 09:00:04.592036 1590 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 09:00:04.592056 1590 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 09:00:04.592057 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 6 09:00:04.592072 1590 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 19 09:00:04.592085 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.592092 1590 RSL_Emulation.ttcn:606 Message with id 19 was extracted from the queue of IPA_PT. 09:00:04.592101 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:04.592108 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 6 09:00:04.592111 1590 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_common_id(1591) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 09:00:04.592114 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 6 was extracted from the queue of BSCVTY. 09:00:04.592120 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:04.592160 1591 BSC_Tests.ttcn:8551 Sent on BSSAP to VirtMSC-RAN(1582) @RAN_Emulation.RAN_Conn_Prim : MSC_CONN_PRIM_DISC_REQ (1) 09:00:04.592235 1582 RAN_Emulation.ttcnpp:1249 Message enqueued on CLIENT from TC_common_id(1591) @RAN_Emulation.RAN_Conn_Prim : MSC_CONN_PRIM_DISC_REQ (1) id 3 09:00:04.592253 1582 RAN_Emulation.ttcnpp:904 Matching on port CLIENT failed: Type of the first message in the queue is not @BSSAP_Types.PDU_BSSAP. 09:00:04.592259 1582 RAN_Emulation.ttcnpp:910 Matching on port CLIENT failed: Type of the first message in the queue is not @BSSAP_CodecPort.BSSAP_N_UNITDATA_req. 09:00:04.592295 1582 RAN_Emulation.ttcnpp:915 Matching on port CLIENT succeeded: MSC_CONN_PRIM_DISC_REQ (1) with MSC_CONN_PRIM_DISC_REQ (1) matched 09:00:04.592302 1582 RAN_Emulation.ttcnpp:915 Receive operation on port CLIENT succeeded, message from TC_common_id(1591): @RAN_Emulation.RAN_Conn_Prim : MSC_CONN_PRIM_DISC_REQ (1) id 3 09:00:04.592311 1582 RAN_Emulation.ttcnpp:915 Message with id 3 was extracted from the queue of CLIENT. 09:00:04.592361 1582 RAN_Emulation.ttcnpp:917 Sent on BSSAP to VirtMSC-SCCP(1581) @BSSAP_CodecPort.BSSAP_N_DISCONNECT_req : { respondingAddress := omit, reason := 0, userData := omit, connectionId := 11385800, importance := omit } 09:00:04.592401 1582 RAN_Emulation.ttcnpp:917 Outgoing message was mapped to @SCCPasp_Types.ASP_SCCP_N_DISCONNECT_req : { respondingAddress := omit, reason := 0, userData := omit, connectionId := 11385800, importance := omit } 09:00:04.592423 1582 RAN_Emulation.ttcnpp:418 Deleted conn table entry 0TC_common_id(1591)11385800 09:00:04.592988 1591 BSC_Tests.ttcn:8542 Matching on port RSL succeeded: matched 09:00:04.593005 1591 BSC_Tests.ttcn:8542 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_RLL (1), transparent := true }, msg_type := RSL_MT_DATA_REQ (1), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := RSL_IE_LINK_IDENT (2), body := { link_id := { c := FACCH_SDCCH (0), na := false, prio := SAPI0_PRIO_NORMAL (0), sapi := 0 } } }, { iei := RSL_IE_L3_INFO (11), body := { l3_info := { len := 3, payload := '060D6F'O } } } } } id 2 09:00:04.593015 1591 BSC_Tests.ttcn:8542 Message with id 2 was extracted from the queue of RSL. 09:00:04.593023 1591 Osmocom_VTY_Functions.ttcn:333 "Got RSL RR Release" 09:00:04.593036 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RR Release" 09:00:04.593055 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:04.593086 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 09:00:04.593177 1591 BSC_Tests.ttcn:8527 Matching on port RSL RSL_MDISC_DCHAN (4) with RSL_MDISC_RLL (1) unmatchedRSL_MT_DEACTIVATE_SACCH (37) with RSL_MT_REL_REQ (7) unmatched.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } }, { iei := ?, body := { link_id := ? } }, { iei := ?, body := { release_mode := ? } } } unmatched: First message in the queue does not match the template: 09:00:04.593226 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 7 09:00:04.593248 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.593262 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:04.593268 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 7 09:00:04.593277 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of BSCVTY. 09:00:04.593282 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:04.593293 1591 BSC_Tests.ttcn:8545 Matching on port RSL succeeded: matched 09:00:04.593299 1591 BSC_Tests.ttcn:8545 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_DEACTIVATE_SACCH (37), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 09:00:04.593305 1591 BSC_Tests.ttcn:8545 Message with id 3 was extracted from the queue of RSL. 09:00:04.593311 1591 Osmocom_VTY_Functions.ttcn:333 "Got RSL Deact SACCH" 09:00:04.593320 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL Deact SACCH" 09:00:04.593335 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:04.593440 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 8 09:00:04.593453 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:04.593465 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:04.593470 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 8 09:00:04.593475 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 8 was extracted from the queue of BSCVTY. 09:00:04.593480 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:05.422470 1586 M3UA_Emulation.ttcn:887 Timeout T_ASPUP_resend: 2 s 09:00:05.422517 1586 M3UA_Emulation.ttcn:903 Start timer T_ASPUP_resend: 2 s 09:00:05.422526 1586 M3UA_Emulation.ttcn:907 Timeout T_ASPAC_resend: 2 s 09:00:05.422530 1586 M3UA_Emulation.ttcn:922 Start timer T_ASPAC_resend: 2 s 09:00:06.592150 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_PORT from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.128.20", remPort := 3003, locName := "172.18.128.203", locPort := 10000, proto := { tcp := { } }, userData := 0, msg := '000400082E0120'O } id 20 09:00:06.592273 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Stream before decoding: '000400082E0120'O 09:00:06.592332 1589 IPA_CodecPort.ttcn:43 dec_PDU_IPA(): Decoded @IPA_Types.PDU_IPA: { lengthInd := 4, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '082E0120'O } 09:00:06.592375 1589 IPA_Emulation.ttcnpp:735 Incoming message was mapped to @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 09:00:06.592434 1589 IPA_Emulation.ttcnpp:737 Matching on port IPA_PORT IPAC_PROTO_RSL_TRX0 (0) with IPAC_PROTO_CCM (254) unmatched: First message in the queue does not match the template: 09:00:06.592475 1589 IPA_Emulation.ttcnpp:753 Matching on port IPA_PORT succeeded: matched 09:00:06.592504 1589 IPA_Emulation.ttcnpp:753 Receive operation on port IPA_PORT succeeded, message from system(): @IPA_CodecPort.IPA_RecvFrom : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '082E0120'O } id 20 09:00:06.592534 1589 IPA_Emulation.ttcnpp:753 Message with id 20 was extracted from the queue of IPA_PORT. 09:00:06.592562 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Stream before decoding: '082E0120'O 09:00:06.592635 1589 IPA_Emulation.ttcnpp:769 dec_RSL_Message(): Decoded @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 09:00:06.592803 1589 IPA_Emulation.ttcnpp:774 Sent on IPA_RSL_PORT to IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 09:00:06.593153 1590 RSL_Emulation.ttcn:496 Message enqueued on IPA_PT from IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 09:00:06.593922 1590 RSL_Emulation.ttcn:497 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:06.593991 1590 RSL_Emulation.ttcn:510 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:06.594036 1590 RSL_Emulation.ttcn:522 Matching on port IPA_PT failed: Type of the first message in the queue is not @IPA_Emulation.ASP_IPA_Event. 09:00:06.594253 1590 RSL_Emulation.ttcn:526 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_IMMEDIATE_ASSIGN_CMD (22) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { full_imm_ass_info := ? } } } unmatched: First message in the queue does not match the template: 09:00:06.594725 1590 RSL_Emulation.ttcn:574 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatchedRSL_MT_RF_CHAN_REL (46) with RSL_MT_PAGING_CMD (21) unmatched.rsl.ies{ { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } with { { iei := ?, body := { chan_nr := { u := { ch0 := RSL_CHAN_NR_PCH_AGCH (18) }, tn := ? } } }, { iei := ?, body := { paging_group := ? } }, { iei := ?, body := { ms_identity := { lengthIndicator := ?, mobileIdentityV := ? } } }, * } unmatched: First message in the queue does not match the template: 09:00:06.594831 1590 RSL_Emulation.ttcn:584 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_TRX_MGMT (8) unmatched: First message in the queue does not match the template: 09:00:06.594867 1590 RSL_Emulation.ttcn:589 Matching on port IPA_PT RSL_MDISC_DCHAN (4) with RSL_MDISC_CCHAN (6) unmatched: First message in the queue does not match the template: 09:00:06.594900 1590 RSL_Emulation.ttcn:594 Matching on port IPA_PT RSL_MT_RF_CHAN_REL (46) with RSL_MT_CHAN_ACTIV (33) unmatched: First message in the queue does not match the template: 09:00:06.594943 1590 RSL_Emulation.ttcn:606 Matching on port IPA_PT succeeded: matched 09:00:06.594982 1590 RSL_Emulation.ttcn:606 Receive operation on port IPA_PT succeeded, message from IPA-BTS0-TRX0-RSL-IPA(1589): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 20 09:00:06.595021 1590 RSL_Emulation.ttcn:606 Message with id 20 was extracted from the queue of IPA_PT. 09:00:06.595073 1590 RSL_Emulation.ttcn:611 Sent on CLIENT_PT to TC_common_id(1591) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 09:00:06.595322 1591 BSC_Tests.ttcn:8541 Message enqueued on RSL from IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 09:00:06.595531 1591 BSC_Tests.ttcn:8553 Matching on port RSL succeeded: matched 09:00:06.595576 1591 BSC_Tests.ttcn:8553 Receive operation on port RSL succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL (46), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 4 09:00:06.595613 1591 BSC_Tests.ttcn:8553 Message with id 4 was extracted from the queue of RSL. 09:00:06.595642 1591 Osmocom_VTY_Functions.ttcn:333 "Got RSL RF Chan Rel, sending Rel Ack" 09:00:06.595720 1591 Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "logp lglobal notice TTCN3 f_logp(): Got RSL RF Chan Rel, sending Rel Ack" 09:00:06.595832 1591 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:06.596396 1591 Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 9 09:00:06.596646 1591 Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:06.596751 1591 Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:06.596797 1591 Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 9 09:00:06.596834 1591 Osmocom_VTY_Functions.ttcn:73 Message with id 9 was extracted from the queue of BSCVTY. 09:00:06.596869 1591 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:06.597369 1591 BSC_Tests.ttcn:8556 Sent on RSL to IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 09:00:06.597609 1590 RSL_Emulation.ttcn:496 Message enqueued on CLIENT_PT from TC_common_id(1591) @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 09:00:06.597703 1591 RSL_Emulation.ttcn:766 Called on RSL_PROC to IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_common_id(1591) } 09:00:06.597869 1590 RSL_Emulation.ttcn:628 Matching on port CLIENT_PT failed: Type of the first message in the queue is not @RSL_Emulation.RSLDC_ChanRqd. 09:00:06.597919 1590 RSL_Emulation.ttcn:640 Matching on port CLIENT_PT succeeded: matched 09:00:06.597957 1590 RSL_Emulation.ttcn:640 Receive operation on port CLIENT_PT succeeded, message from TC_common_id(1591): @RSL_Types.RSL_Message : { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } id 3 09:00:06.597991 1590 RSL_Emulation.ttcn:640 Message with id 3 was extracted from the queue of CLIENT_PT. 09:00:06.598076 1590 RSL_Emulation.ttcn:642 Sent on IPA_PT to IPA-BTS0-TRX0-RSL-IPA(1589) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } 09:00:06.598237 1589 IPA_Emulation.ttcnpp:735 Message enqueued on IPA_RSL_PORT from IPA-BTS0-TRX0-RSL-RSL(1590) @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 09:00:06.598373 1589 IPA_Emulation.ttcnpp:913 Matching on port IPA_RSL_PORT succeeded: matched 09:00:06.598413 1589 IPA_Emulation.ttcnpp:913 Receive operation on port IPA_RSL_PORT succeeded, message from IPA-BTS0-TRX0-RSL-RSL(1590): @IPA_Emulation.ASP_RSL_Unitdata : { conn_id := omit, streamId := IPAC_PROTO_RSL_TRX0 (0), rsl := { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } } id 5 09:00:06.598449 1590 RSL_Emulation.ttcn:496 Call enqueued on RSL_PROC from TC_common_id(1591) @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_common_id(1591) } id 2 09:00:06.598466 1589 IPA_Emulation.ttcnpp:913 Message with id 5 was extracted from the queue of IPA_RSL_PORT. 09:00:06.598494 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Encoding @RSL_Types.RSL_Message: { msg_disc := { msg_group := RSL_MDISC_DCHAN (4), transparent := false }, msg_type := RSL_MT_RF_CHAN_REL_ACK (51), ies := { { iei := RSL_IE_CHAN_NR (1), body := { chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 } } } } } 09:00:06.598566 1589 IPA_Emulation.ttcnpp:575 enc_RSL_Message(): Stream after encoding: '08330120'O 09:00:06.598613 1589 IPA_Emulation.ttcnpp:914 Sent on IPA_PORT to system @IPA_CodecPort.IPA_Send : { connId := 1, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, msg := '08330120'O } 09:00:06.598616 1590 RSL_Emulation.ttcn:670 Matching on port RSL_PROC failed: The first entity in the queue is not a call for signature @RSL_Emulation.RSLEM_register. 09:00:06.598646 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Encoding @IPA_Types.PDU_IPA: { lengthInd := 0, streamId := IPAC_PROTO_RSL_TRX0 (0), streamIdExt := omit, payloadData := '08330120'O } 09:00:06.598687 1589 IPA_CodecPort.ttcn:54 enc_PDU_IPA(): Stream after encoding: '00040008330120'O 09:00:06.598708 1590 RSL_Emulation.ttcn:675 Matching on port RSL_PROC succeeded: { trx_nr := 0 with ? matched, chan_nr := matched, hdlr := TC_common_id(1591) with ? matched } 09:00:06.598719 1589 IPA_Emulation.ttcnpp:914 Outgoing message was mapped to @IPL4asp_Types.ASP_Send : { connId := 1, proto := { tcp := { } }, msg := '00040008330120'O } 09:00:06.598789 1590 RSL_Emulation.ttcn:675 Getcall operation on port RSL_PROC succeeded, call from TC_common_id(1591): @RSL_Emulation.RSLEM_unregister : { trx_nr := 0, chan_nr := { u := { sdcch4 := { tag := '001'B, sub_chan := 0 } }, tn := 0 }, hdlr := TC_common_id(1591) } id 1 09:00:06.598819 1590 RSL_Emulation.ttcn:675 Operation with id 2 was extracted from the queue of RSL_PROC. 09:00:06.599018 1590 RSL_Emulation.ttcn:678 Replied on RSL_PROC to TC_common_id(1591) @RSL_Emulation.RSLEM_unregister : { } 09:00:06.599206 1591 RSL_Emulation.ttcn:766 Reply enqueued on RSL_PROC from IPA-BTS0-TRX0-RSL-RSL(1590) @RSL_Emulation.RSLEM_unregister : { } id 2 09:00:06.599343 1591 RSL_Emulation.ttcn:767 Matching on port RSL_PROC succeeded: { } with { } matched 09:00:06.599381 1591 RSL_Emulation.ttcn:767 Getreply operation on port RSL_PROC succeeded, reply from IPA-BTS0-TRX0-RSL-RSL(1590): @RSL_Emulation.RSLEM_unregister : { } id 1 09:00:06.599413 1591 RSL_Emulation.ttcn:767 Operation with id 2 was extracted from the queue of RSL_PROC. 09:00:06.599527 1591 BSC_Tests.ttcn:8561 Default with id 1 (altstep as_mgcp_ack_all_dlcx) was deactivated. 09:00:06.599565 1591 BSC_Tests.ttcn:8562 Default with id 2 (altstep as_rsl_ack_all_rel_req) was deactivated. 09:00:06.599619 1591 - Function f_handler_init finished. PTC terminates. 09:00:06.599649 1591 - Terminating component type MSC_ConnectionHandler.MSC_ConnHdlr. 09:00:06.599670 1591 - Removing unterminated connection between port BSSAP_LE and VirtSMLC-BSSAP_LE(1585):CLIENT. 09:00:06.599746 1591 - Port BSSAP_LE was stopped. 09:00:06.599769 1591 - Removing unterminated connection between port BSSAP_LE_PROC and VirtSMLC-BSSAP_LE(1585):PROC. 09:00:06.599821 1591 - Port BSSAP_LE_PROC was stopped. 09:00:06.599839 1591 - Removing unterminated connection between port MGCP and VirtMGW-MGCP-0(1588):MGCP_CLIENT. 09:00:06.599879 1591 - Port MGCP was stopped. 09:00:06.599897 1591 - Removing unterminated connection between port MGCP_MULTI and VirtMGW-MGCP-0(1588):MGCP_CLIENT_MULTI. 09:00:06.599911 1585 BSSAP_LE_Emulation.ttcn:522 Connection of port CLIENT to TC_common_id(1591):BSSAP_LE was closed unexpectedly by the peer. 09:00:06.599930 1591 - Port MGCP_MULTI was stopped. 09:00:06.599948 1591 - Removing unterminated connection between port MGCP_PROC and VirtMGW-MGCP-0(1588):MGCP_PROC. 09:00:06.599993 1591 - Port MGCP_PROC was stopped. 09:00:06.600009 1588 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT to TC_common_id(1591):MGCP was closed unexpectedly by the peer. 09:00:06.600012 1591 - Removing unterminated connection between port BSSAP and VirtMSC-RAN(1582):CLIENT. 09:00:06.600055 1591 - Port BSSAP was stopped. 09:00:06.600067 1585 BSSAP_LE_Emulation.ttcn:522 Port CLIENT was disconnected from TC_common_id(1591):BSSAP_LE. 09:00:06.600076 1591 - Port BSSAP_PROC was stopped. 09:00:06.600089 1582 RAN_Emulation.ttcnpp:1249 Connection of port CLIENT to TC_common_id(1591):BSSAP was closed unexpectedly by the peer. 09:00:06.600095 1591 - Removing unterminated connection between port RSL and IPA-BTS0-TRX0-RSL-RSL(1590):CLIENT_PT. 09:00:06.600133 1588 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT was disconnected from TC_common_id(1591):MGCP. 09:00:06.600135 1582 RAN_Emulation.ttcnpp:1249 Port CLIENT was disconnected from TC_common_id(1591):BSSAP. 09:00:06.600139 1591 - Port RSL was stopped. 09:00:06.600164 1591 - Removing unterminated connection between port RSL_PROC and IPA-BTS0-TRX0-RSL-RSL(1590):RSL_PROC. 09:00:06.600197 1591 - Port RSL_PROC was stopped. 09:00:06.600215 1591 - Port RSL1 was stopped. 09:00:06.600229 1585 BSSAP_LE_Emulation.ttcn:522 Connection of port PROC to TC_common_id(1591):BSSAP_LE_PROC was closed unexpectedly by the peer. 09:00:06.600233 1591 - Port RSL1_PROC was stopped. 09:00:06.600241 1588 MGCP_Emulation.ttcn:290 Connection of port MGCP_CLIENT_MULTI to TC_common_id(1591):MGCP_MULTI was closed unexpectedly by the peer. 09:00:06.600251 1591 - Port RSL2 was stopped. 09:00:06.600261 1590 RSL_Emulation.ttcn:496 Connection of port CLIENT_PT to TC_common_id(1591):RSL was closed unexpectedly by the peer. 09:00:06.600273 1591 - Port RSL2_PROC was stopped. 09:00:06.600275 1588 MGCP_Emulation.ttcn:290 Port MGCP_CLIENT_MULTI was disconnected from TC_common_id(1591):MGCP_MULTI. 09:00:06.600292 1591 - Removing unterminated connection between port STATSD_PROC and VirtMSC-STATS(1580):STATSD_PROC. 09:00:06.600324 1585 BSSAP_LE_Emulation.ttcn:522 Port PROC was disconnected from TC_common_id(1591):BSSAP_LE_PROC. 09:00:06.600342 1591 - Port STATSD_PROC was stopped. 09:00:06.600362 1591 - Removing unterminated connection between port RAN and VirtMSC-RAN(1582):PROC. 09:00:06.600374 1588 MGCP_Emulation.ttcn:290 Connection of port MGCP_PROC to TC_common_id(1591):MGCP_PROC was closed unexpectedly by the peer. 09:00:06.600374 1590 RSL_Emulation.ttcn:496 Port CLIENT_PT was disconnected from TC_common_id(1591):RSL. 09:00:06.600401 1588 MGCP_Emulation.ttcn:290 Port MGCP_PROC was disconnected from TC_common_id(1591):MGCP_PROC. 09:00:06.600401 1591 - Port RAN was stopped. 09:00:06.600415 1582 RAN_Emulation.ttcnpp:1249 Connection of port PROC to TC_common_id(1591):RAN was closed unexpectedly by the peer. 09:00:06.600425 1591 - Removing unterminated mapping between port BSCVTY and system:BSCVTY. 09:00:06.600445 1582 RAN_Emulation.ttcnpp:1249 Port PROC was disconnected from TC_common_id(1591):RAN. 09:00:06.600467 1580 StatsD_Checker.ttcnpp:135 Connection of port STATSD_PROC to TC_common_id(1591):STATSD_PROC was closed unexpectedly by the peer. 09:00:06.600474 1590 RSL_Emulation.ttcn:496 Connection of port RSL_PROC to TC_common_id(1591):RSL_PROC was closed unexpectedly by the peer. 09:00:06.600500 1590 RSL_Emulation.ttcn:496 Port RSL_PROC was disconnected from TC_common_id(1591):RSL_PROC. 09:00:06.600613 1580 StatsD_Checker.ttcnpp:135 Port STATSD_PROC was disconnected from TC_common_id(1591):STATSD_PROC. 09:00:06.600613 1591 - Port BSCVTY was unmapped from system:BSCVTY. 09:00:06.600650 1591 - Port BSCVTY was stopped. 09:00:06.600670 1591 - Port COORD was stopped. 09:00:06.600687 1591 - Port COORD2 was stopped. 09:00:06.600714 1591 - Port MGCP_MSC_CLIENT was stopped. 09:00:06.600733 1591 - Component type MSC_ConnectionHandler.MSC_ConnHdlr was shut down inside testcase TC_common_id. 09:00:06.600766 1591 - Final verdict of PTC: pass 09:00:06.600921 1591 - Disconnected from MC. 09:00:06.600926 mtc BSC_Tests.ttcn:5600 PTC with component reference 1591 is done. 09:00:06.600971 1591 - TTCN-3 Parallel Test Component finished. 09:00:06.601108 mtc Osmocom_VTY_Functions.ttcn:105 Sent on BSCVTY to system charstring : "show talloc-context application full filter \\\\|\\" 09:00:06.601252 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 09:00:06.602818 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" id 39 09:00:06.602962 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on BSCVTY from system charstring : "OsmoBSC# " id 40 09:00:06.603126 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:06.603259 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 09:00:06.603381 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 09:00:06.603457 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port BSCVTY "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 09:00:06.603518 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port BSCVTY succeeded: "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" with ? matched 09:00:06.603576 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port BSCVTY succeeded, message from system(): charstring : "full talloc report on 'osmo-bsc' (total 1371706 bytes in 1294 blocks)" id 39 09:00:06.603630 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 39 was extracted from the queue of BSCVTY. 09:00:06.603724 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port BSCVTY "OsmoBSC# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 09:00:06.603826 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port BSCVTY succeeded: "OsmoBSC# " with pattern "[\w-]+\# " matched 09:00:06.603882 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port BSCVTY succeeded, message from system(): charstring : "OsmoBSC# " id 40 09:00:06.603959 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 40 was extracted from the queue of BSCVTY. 09:00:06.604014 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 09:00:06.604073 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct bsc_subscr" x 0, expecting 0 09:00:06.604161 mtc Osmocom_VTY_Functions.ttcn:260 talloc reports "struct gsm_subscriber_connection" x 0, expecting 0 09:00:06.604239 mtc BSC_Tests.ttcn:918 Stopping all components. 09:00:06.604342 1582 RAN_Emulation.ttcnpp:1249 Kill was requested from MC. 09:00:06.604355 1580 StatsD_Checker.ttcnpp:135 Kill was requested from MC. 09:00:06.604370 1582 RAN_Emulation.ttcnpp:1249 Terminating test component execution. 09:00:06.604408 1585 BSSAP_LE_Emulation.ttcn:522 Stop was requested from MC. 09:00:06.604421 1580 StatsD_Checker.ttcnpp:135 Terminating test component execution. 09:00:06.604442 1581 SCCP_Emulation.ttcn:5513 Kill was requested from MC. 09:00:06.604454 1583 M3UA_Emulation.ttcn:596 Kill was requested from MC. 09:00:06.604467 1586 M3UA_Emulation.ttcn:596 Stop was requested from MC. 09:00:06.604471 1584 SCCP_Emulation.ttcn:5513 Stop was requested from MC. 09:00:06.604499 1587 IPA_Emulation.ttcnpp:735 Kill was requested from MC. 09:00:06.604515 1589 IPA_Emulation.ttcnpp:735 Stop was requested from MC. 09:00:06.604515 1590 RSL_Emulation.ttcn:496 Stop was requested from MC. 09:00:06.604537 1585 BSSAP_LE_Emulation.ttcn:522 Stopping test component execution. 09:00:06.604562 1581 SCCP_Emulation.ttcn:5513 Terminating test component execution. 09:00:06.604575 1583 M3UA_Emulation.ttcn:596 Terminating test component execution. 09:00:06.604594 1584 SCCP_Emulation.ttcn:5513 Stopping test component execution. 09:00:06.604614 1586 M3UA_Emulation.ttcn:596 Stopping test component execution. 09:00:06.604643 1587 IPA_Emulation.ttcnpp:735 Terminating test component execution. 09:00:06.604672 1589 IPA_Emulation.ttcnpp:735 Stopping test component execution. 09:00:06.604672 1590 RSL_Emulation.ttcn:496 Stopping test component execution. 09:00:06.605381 1582 - Function main was stopped. PTC terminates. 09:00:06.605480 1582 - Terminating component type RAN_Emulation.RAN_Emulation_CT. 09:00:06.605507 1582 - Removing unterminated connection between port BSSAP and VirtMSC-SCCP(1581):SCCP_SP_PORT. 09:00:06.605518 1581 - Function SCCPStart was stopped. PTC terminates. 09:00:06.605526 1583 - Function f_M3UA_Emulation was stopped. PTC terminates. 09:00:06.605569 1582 - Port BSSAP was stopped. 09:00:06.605593 1582 - Port CLIENT was stopped. 09:00:06.605608 1581 - Terminating component type SCCP_Emulation.SCCP_CT. 09:00:06.605609 1582 - Port MGCP was stopped. 09:00:06.605629 1582 - Port CTRL was stopped. 09:00:06.605630 1583 - Terminating component type M3UA_Emulation.M3UA_CT. 09:00:06.605647 1582 - Removing unterminated connection between port CTRL_CLIENT and mtc:SCCPLITE_IPA_CTRL. 09:00:06.605654 1584 - Function SCCPStart was stopped. PTC remains alive and is waiting for next start. 09:00:06.605689 1582 - Port CTRL_CLIENT was stopped. 09:00:06.605708 1582 - Port PROC was stopped. 09:00:06.605725 1582 - Component type RAN_Emulation.RAN_Emulation_CT was shut down inside testcase TC_common_id. 09:00:06.605751 1582 - Final verdict of PTC: none 09:00:06.605760 1581 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_common_id. 09:00:06.605791 1581 - Final verdict of PTC: none 09:00:06.605864 mtc BSC_Tests.ttcn:918 Connection of port SCCPLITE_IPA_CTRL to VirtMSC-RAN(1582):CTRL_CLIENT was closed unexpectedly by the peer. 09:00:06.605884 1588 MGCP_Emulation.ttcn:290 Stop was requested from MC. 09:00:06.605920 1588 MGCP_Emulation.ttcn:290 Stopping test component execution. 09:00:06.606052 mtc BSC_Tests.ttcn:918 Port SCCPLITE_IPA_CTRL was disconnected from VirtMSC-RAN(1582):CTRL_CLIENT. 09:00:06.606055 1583 - Component type M3UA_Emulation.M3UA_CT was shut down inside testcase TC_common_id. 09:00:06.606084 1586 - Function f_M3UA_Emulation was stopped. PTC remains alive and is waiting for next start. 09:00:06.606106 1582 - Disconnected from MC. 09:00:06.606143 1583 - Final verdict of PTC: none 09:00:06.606191 1580 - Function main was stopped. PTC terminates. 09:00:06.606235 1582 - TTCN-3 Parallel Test Component finished. 09:00:06.606397 1580 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 09:00:06.606397 1585 - Function main was stopped. PTC remains alive and is waiting for next start. 09:00:06.606465 1587 - Function main_client was stopped. PTC terminates. 09:00:06.606472 1580 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 09:00:06.606549 1590 - Function main was stopped. PTC remains alive and is waiting for next start. 09:00:06.606665 1587 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 09:00:06.606735 1587 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 09:00:06.606833 1589 - Function main_client was stopped. PTC remains alive and is waiting for next start. 09:00:06.606838 1586 - Message enqueued on SCTP_PORT from system @SCTPasp_Types.ASP_SCTP : { client_id := 8, sinfo_stream := 0, sinfo_ppid := 3, data := '0100020100000018000600080000000600120008000000B9'O } id 8 09:00:06.606876 1580 - Port STATSVTY was unmapped from system:STATSVTY. 09:00:06.607009 1580 - Port STATSVTY was stopped. 09:00:06.607065 1580 - Port STATSD_PROC was stopped. 09:00:06.607110 1580 - Removing unterminated mapping between port STATS and system:STATS. 09:00:06.607156 1588 - Function main was stopped. PTC remains alive and is waiting for next start. 09:00:06.608163 1587 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 09:00:06.608297 1587 - Port IPA_PORT was stopped. 09:00:06.608356 1587 - Port CFG_PORT was stopped. 09:00:06.608403 1587 - Port MTP3_SP_PORT was stopped. 09:00:06.608446 1587 - Port IPA_MGCP_PORT was stopped. 09:00:06.608488 1587 - Port IPA_RSL_PORT was stopped. 09:00:06.608528 1587 - Port IPA_OML_PORT was stopped. 09:00:06.608568 1587 - Removing unterminated connection between port IPA_CTRL_PORT and mtc:IPA_CTRL. 09:00:06.608571 1580 - Port STATS was unmapped from system:STATS. 09:00:06.608662 1587 - Port IPA_CTRL_PORT was stopped. 09:00:06.608665 1580 - Port STATS was stopped. 09:00:06.608706 1587 - Port IPA_SP_PORT was stopped. 09:00:06.608710 1580 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_common_id. 09:00:06.608743 1587 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_common_id. 09:00:06.608771 1580 - Final verdict of PTC: none 09:00:06.608789 mtc BSC_Tests.ttcn:918 Connection of port IPA_CTRL to IPA-CTRL-CLI-IPA(1587):IPA_CTRL_PORT was closed unexpectedly by the peer. 09:00:06.608796 1587 - Final verdict of PTC: none 09:00:06.608933 mtc BSC_Tests.ttcn:918 Port IPA_CTRL was disconnected from IPA-CTRL-CLI-IPA(1587):IPA_CTRL_PORT. 09:00:06.609091 1580 - Disconnected from MC. 09:00:06.609092 mtc BSC_Tests.ttcn:918 All components were stopped. 09:00:06.609130 1587 - Disconnected from MC. 09:00:06.609154 mtc BSC_Tests.ttcn:919 setverdict(pass): none -> pass 09:00:06.609208 1580 - TTCN-3 Parallel Test Component finished. 09:00:06.609231 mtc BSC_Tests.ttcn:920 Stopping test component execution. 09:00:06.609237 1587 - TTCN-3 Parallel Test Component finished. 09:00:06.609329 mtc BSC_Tests.ttcn:5601 Test case TC_common_id was stopped. 09:00:06.609373 mtc BSC_Tests.ttcn:5601 Terminating component type BSC_Tests.test_CT. 09:00:06.609418 mtc BSC_Tests.ttcn:5601 Default with id 1 (altstep as_Tguard) was deactivated. 09:00:06.609465 mtc BSC_Tests.ttcn:5601 Stop timer T_guard: 30 s 09:00:06.609518 mtc BSC_Tests.ttcn:5601 Port IPA_CTRL was stopped. 09:00:06.609561 mtc BSC_Tests.ttcn:5601 Removing unterminated connection between port RSL_CCHAN[0] and IPA-BTS0-TRX0-RSL-RSL(1590):CCHAN_PT. 09:00:06.609695 mtc BSC_Tests.ttcn:5601 Message with id 1 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.609745 1590 - Connection of port CCHAN_PT to mtc:RSL_CCHAN[0] was closed unexpectedly by the peer. 09:00:06.609756 mtc BSC_Tests.ttcn:5601 Message with id 2 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.609805 mtc BSC_Tests.ttcn:5601 Message with id 3 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.609851 mtc BSC_Tests.ttcn:5601 Message with id 4 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.609852 1590 - Port CCHAN_PT was disconnected from mtc:RSL_CCHAN[0]. 09:00:06.609902 mtc BSC_Tests.ttcn:5601 Message with id 5 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.609947 mtc BSC_Tests.ttcn:5601 Message with id 6 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.609992 mtc BSC_Tests.ttcn:5601 Message with id 7 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610037 mtc BSC_Tests.ttcn:5601 Message with id 8 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610081 mtc BSC_Tests.ttcn:5601 Message with id 9 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610124 mtc BSC_Tests.ttcn:5601 Message with id 10 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610168 mtc BSC_Tests.ttcn:5601 Message with id 11 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610211 mtc BSC_Tests.ttcn:5601 Message with id 12 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610258 mtc BSC_Tests.ttcn:5601 Message with id 13 was extracted from the queue of RSL_CCHAN[0]. 09:00:06.610323 mtc BSC_Tests.ttcn:5601 Port RSL_CCHAN[0] was stopped. 09:00:06.610372 mtc BSC_Tests.ttcn:5601 Port RSL_CCHAN[1] was stopped. 09:00:06.610414 mtc BSC_Tests.ttcn:5601 Port RSL_CCHAN[2] was stopped. 09:00:06.610454 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[0][0] was stopped. 09:00:06.610494 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[0][1] was stopped. 09:00:06.610533 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[0][2] was stopped. 09:00:06.610572 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[0][3] was stopped. 09:00:06.610611 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[1][0] was stopped. 09:00:06.610649 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[1][1] was stopped. 09:00:06.610688 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[1][2] was stopped. 09:00:06.610729 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[1][3] was stopped. 09:00:06.610769 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[2][0] was stopped. 09:00:06.610807 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[2][1] was stopped. 09:00:06.610846 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[2][2] was stopped. 09:00:06.610884 mtc BSC_Tests.ttcn:5601 Port IPA_RSL[2][3] was stopped. 09:00:06.610924 mtc BSC_Tests.ttcn:5601 Port IPA was stopped. 09:00:06.610963 mtc BSC_Tests.ttcn:5601 Port SCCPLITE_IPA_CTRL was stopped. 09:00:06.611033 mtc BSC_Tests.ttcn:5601 Removing unterminated connection between port IPA_CFG_PORT[0][0] and IPA-BTS0-TRX0-RSL-IPA(1589):CFG_PORT. 09:00:06.611142 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[0][0] was stopped. 09:00:06.611193 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[0][1] was stopped. 09:00:06.611236 1589 - Connection of port CFG_PORT to mtc:IPA_CFG_PORT[0][0] was closed unexpectedly by the peer. 09:00:06.611237 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[0][2] was stopped. 09:00:06.611279 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[0][3] was stopped. 09:00:06.611320 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[1][0] was stopped. 09:00:06.611341 1589 - Port CFG_PORT was disconnected from mtc:IPA_CFG_PORT[0][0]. 09:00:06.611359 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[1][1] was stopped. 09:00:06.611399 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[1][2] was stopped. 09:00:06.611439 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[1][3] was stopped. 09:00:06.611477 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[2][0] was stopped. 09:00:06.611516 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[2][1] was stopped. 09:00:06.611555 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[2][2] was stopped. 09:00:06.611595 mtc BSC_Tests.ttcn:5601 Port IPA_CFG_PORT[2][3] was stopped. 09:00:06.611636 mtc BSC_Tests.ttcn:5601 Removing unterminated mapping between port BSCVTY and system:BSCVTY. 09:00:06.611807 mtc BSC_Tests.ttcn:5601 Port BSCVTY was unmapped from system:BSCVTY. 09:00:06.611888 mtc BSC_Tests.ttcn:5601 Port BSCVTY was stopped. 09:00:06.611935 mtc BSC_Tests.ttcn:5601 Port BSSAP was stopped. 09:00:06.611977 mtc BSC_Tests.ttcn:5601 Port BSSAP_LE was stopped. 09:00:06.612018 mtc BSC_Tests.ttcn:5601 Component type BSC_Tests.test_CT was shut down inside testcase TC_common_id. 09:00:06.612066 mtc BSC_Tests.ttcn:5601 Waiting for PTCs to finish. 09:00:06.612245 1585 - Kill was requested from MC. Terminating idle PTC. 09:00:06.612287 1589 - Kill was requested from MC. Terminating idle PTC. 09:00:06.612301 1588 - Kill was requested from MC. Terminating idle PTC. 09:00:06.612304 1584 - Kill was requested from MC. Terminating idle PTC. 09:00:06.612304 1586 - Kill was requested from MC. Terminating idle PTC. 09:00:06.612305 1590 - Kill was requested from MC. Terminating idle PTC. 09:00:06.612361 1585 - Terminating component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT. 09:00:06.612412 1588 - Terminating component type MGCP_Emulation.MGCP_Emulation_CT. 09:00:06.612421 1585 - Removing unterminated connection between port BSSAP_LE and VirtSMLC-SCCP(1584):SCCP_SP_PORT. 09:00:06.612422 1589 - Terminating component type IPA_Emulation.IPA_Emulation_CT. 09:00:06.612439 1584 - Terminating component type SCCP_Emulation.SCCP_CT. 09:00:06.612465 1590 - Terminating component type RSL_Emulation.RSL_Emulation_CT. 09:00:06.612466 1588 - Removing unterminated mapping between port MGCP and system:MGCP_CODEC_PT. 09:00:06.612474 1589 - Removing unterminated mapping between port IPA_PORT and system:IPA_CODEC_PT. 09:00:06.612497 1584 - Removing unterminated connection between port SCCP_SP_PORT and VirtSMLC-BSSAP_LE(1585):BSSAP_LE. 09:00:06.612537 1590 - Removing unterminated connection between port IPA_PT and IPA-BTS0-TRX0-RSL-IPA(1589):IPA_RSL_PORT. 09:00:06.612548 1585 - Port BSSAP_LE was stopped. 09:00:06.612581 1586 - Terminating component type M3UA_Emulation.M3UA_CT. 09:00:06.612619 1585 - Port CLIENT was stopped. 09:00:06.612622 1584 - Port SCCP_SP_PORT was stopped. 09:00:06.612649 1584 - Removing unterminated connection between port MTP3_SCCP_PORT and VirtSMLC-M3UA(1586):MTP3_SP_PORT. 09:00:06.612668 1590 - Port IPA_PT was stopped. 09:00:06.612670 1585 - Port PROC was stopped. 09:00:06.612692 1586 - Stop timer T_ASPUP_resend: 2 s 09:00:06.612706 1584 - Port MTP3_SCCP_PORT was stopped. 09:00:06.612716 1585 - Component type BSSAP_LE_Emulation.BSSAP_LE_Emulation_CT was shut down inside testcase TC_common_id. 09:00:06.612726 1590 - Port CLIENT_PT was stopped. 09:00:06.612733 1584 - Component type SCCP_Emulation.SCCP_CT was shut down inside testcase TC_common_id. 09:00:06.612760 1586 - Stop timer T_ASPAC_resend: 2 s 09:00:06.612769 1584 - Final verdict of PTC: none 09:00:06.612775 1590 - Port RSL_PROC was stopped. 09:00:06.612782 1585 - Final verdict of PTC: none 09:00:06.612804 1586 - Stop timer T_Assoc_restart: 60 s 09:00:06.612818 1590 - Port CCHAN_PT was stopped. 09:00:06.612849 1586 - Removing unterminated connection between port MTP3_SP_PORT and VirtSMLC-SCCP(1584):MTP3_SCCP_PORT. 09:00:06.612858 1590 - Component type RSL_Emulation.RSL_Emulation_CT was shut down inside testcase TC_common_id. 09:00:06.612921 1590 - Final verdict of PTC: none 09:00:06.612974 1586 - Port MTP3_SP_PORT was stopped. 09:00:06.612975 1584 - Disconnected from MC. 09:00:06.613041 1585 - Disconnected from MC. 09:00:06.613043 1586 - Removing unterminated mapping between port SCTP_PORT and system:sctp. 09:00:06.613088 1584 - TTCN-3 Parallel Test Component finished. 09:00:06.613149 1585 - TTCN-3 Parallel Test Component finished. 09:00:06.613182 1590 - Disconnected from MC. 09:00:06.613319 1590 - TTCN-3 Parallel Test Component finished. 09:00:06.613626 1586 - Port SCTP_PORT was unmapped from system:sctp. 09:00:06.613770 1586 - Message with id 8 was extracted from the queue of SCTP_PORT. 09:00:06.613831 1586 - Port SCTP_PORT was stopped. 09:00:06.613885 1586 - Component type M3UA_Emulation.M3UA_CT was shut down inside testcase TC_common_id. 09:00:06.613965 1586 - Final verdict of PTC: none 09:00:06.613978 1589 - Port IPA_PORT was unmapped from system:IPA_CODEC_PT. 09:00:06.614060 1589 - Port IPA_PORT was stopped. 09:00:06.614081 1588 - Port MGCP was unmapped from system:MGCP_CODEC_PT. 09:00:06.614096 1589 - Port CFG_PORT was stopped. 09:00:06.614124 1589 - Port MTP3_SP_PORT was stopped. 09:00:06.614151 1589 - Port IPA_MGCP_PORT was stopped. 09:00:06.614178 1589 - Removing unterminated connection between port IPA_RSL_PORT and IPA-BTS0-TRX0-RSL-RSL(1590):IPA_PT. 09:00:06.614219 1588 - Port MGCP was stopped. 09:00:06.614271 1588 - Port MGCP_CLIENT was stopped. 09:00:06.614330 1589 - Port IPA_RSL_PORT was stopped. 09:00:06.614341 1588 - Port MGCP_CLIENT_MULTI was stopped. 09:00:06.614366 1589 - Port IPA_OML_PORT was stopped. 09:00:06.614397 1589 - Port IPA_CTRL_PORT was stopped. 09:00:06.614425 1588 - Port MGCP_PROC was stopped. 09:00:06.614425 1589 - Port IPA_SP_PORT was stopped. 09:00:06.614454 1589 - Component type IPA_Emulation.IPA_Emulation_CT was shut down inside testcase TC_common_id. 09:00:06.614471 1588 - Component type MGCP_Emulation.MGCP_Emulation_CT was shut down inside testcase TC_common_id. 09:00:06.614501 1589 - Final verdict of PTC: none 09:00:06.614539 1588 - Final verdict of PTC: none 09:00:06.614598 1586 - Disconnected from MC. 09:00:06.614701 1589 - Disconnected from MC. 09:00:06.614746 mtc BSC_Tests.ttcn:5601 Setting final verdict of the test case. 09:00:06.614749 1588 - Disconnected from MC. 09:00:06.614755 1586 - TTCN-3 Parallel Test Component finished. 09:00:06.614775 1589 - TTCN-3 Parallel Test Component finished. 09:00:06.614822 1588 - TTCN-3 Parallel Test Component finished. 09:00:06.614887 mtc BSC_Tests.ttcn:5601 Local verdict of MTC: pass 09:00:06.614944 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtMSC-STATS(1580): none (pass -> pass) 09:00:06.614989 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtMSC-SCCP(1581): none (pass -> pass) 09:00:06.615031 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtMSC-RAN(1582): none (pass -> pass) 09:00:06.615188 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtMSC-M3UA(1583): none (pass -> pass) 09:00:06.615232 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtSMLC-SCCP(1584): none (pass -> pass) 09:00:06.615272 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtSMLC-BSSAP_LE(1585): none (pass -> pass) 09:00:06.615314 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtSMLC-M3UA(1586): none (pass -> pass) 09:00:06.615355 mtc BSC_Tests.ttcn:5601 Local verdict of PTC IPA-CTRL-CLI-IPA(1587): none (pass -> pass) 09:00:06.615396 mtc BSC_Tests.ttcn:5601 Local verdict of PTC VirtMGW-MGCP-0(1588): none (pass -> pass) 09:00:06.615435 mtc BSC_Tests.ttcn:5601 Local verdict of PTC IPA-BTS0-TRX0-RSL-IPA(1589): none (pass -> pass) 09:00:06.615476 mtc BSC_Tests.ttcn:5601 Local verdict of PTC IPA-BTS0-TRX0-RSL-RSL(1590): none (pass -> pass) 09:00:06.615517 mtc BSC_Tests.ttcn:5601 Local verdict of PTC TC_common_id(1591): pass (pass -> pass) 09:00:06.615562 mtc BSC_Tests.ttcn:5601 Test case TC_common_id finished. Verdict: pass 09:00:06.615616 mtc BSC_Tests.ttcn:5601 Starting external command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_common_id pass'. 09:00:11.887925 mtc BSC_Tests.ttcn:5601 External command `../ttcn3-tcpdump-stop.sh BSC_Tests.TC_common_id pass' was executed successfully (exit status: 0). 09:00:11.887965 mtc BSC_Tests.ttcn:5634 Switching to log file `BSC_Tests-TC_unsol_ass_fail-4aadd547cdc3-mtc.log'