08:56:42.261060 mtc PCU_Tests.ttcn:4890 Starting external command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion'. 08:56:43.267537 mtc PCU_Tests.ttcn:4890 External command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion' was executed successfully (exit status: 0). 08:56:43.267629 mtc PCU_Tests.ttcn:4890 Test case TC_egprs_pkt_chan_req_reject_exhaustion started. 08:56:43.267649 mtc PCU_Tests.ttcn:4890 Initializing variables, timers and ports of component type PCU_Tests.RAW_PCU_Test_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:43.267726 mtc PCU_Tests.ttcn:4890 Port BTS was started. 08:56:43.267739 mtc PCU_Tests.ttcn:4890 Port PROC was started. 08:56:43.267748 mtc PCU_Tests.ttcn:4890 Port RIM was started. 08:56:43.267757 mtc PCU_Tests.ttcn:4890 Port IPA_CTRL was started. 08:56:43.267765 mtc PCU_Tests.ttcn:4890 Port BSSGP[0] was started. 08:56:43.267774 mtc PCU_Tests.ttcn:4890 Port BSSGP[1] was started. 08:56:43.267783 mtc PCU_Tests.ttcn:4890 Port BSSGP[2] was started. 08:56:43.267791 mtc PCU_Tests.ttcn:4890 Port BSSGP_SIG[0] was started. 08:56:43.267799 mtc PCU_Tests.ttcn:4890 Port BSSGP_SIG[1] was started. 08:56:43.267807 mtc PCU_Tests.ttcn:4890 Port BSSGP_SIG[2] was started. 08:56:43.267816 mtc PCU_Tests.ttcn:4890 Port BSSGP_GLOBAL[0] was started. 08:56:43.267824 mtc PCU_Tests.ttcn:4890 Port BSSGP_GLOBAL[1] was started. 08:56:43.267832 mtc PCU_Tests.ttcn:4890 Port BSSGP_GLOBAL[2] was started. 08:56:43.267841 mtc PCU_Tests.ttcn:4890 Port BSSGP_PROC[0] was started. 08:56:43.267849 mtc PCU_Tests.ttcn:4890 Port BSSGP_PROC[1] was started. 08:56:43.267857 mtc PCU_Tests.ttcn:4890 Port BSSGP_PROC[2] was started. 08:56:43.267866 mtc PCU_Tests.ttcn:4890 Port STATSD_PROC was started. 08:56:43.267874 mtc PCU_Tests.ttcn:4890 Port PCUVTY was started. 08:56:43.267882 mtc PCU_Tests.ttcn:4890 Component type PCU_Tests.RAW_PCU_Test_CT was initialized. 08:56:43.268419 mtc PCU_Tests.ttcn:281 Start timer g_T_guard: 60 s 08:56:43.268450 mtc PCU_Tests.ttcn:282 Altstep as_Tguard_RAW was activated as default, id 1 08:56:43.268466 mtc PCU_Tests.ttcn:285 Creating new alive PTC with component type PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:43.271874 518 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.271881 518 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.271934 518 - TTCN-3 Parallel Test Component started on d7a2899d2dee. Component reference: PCUIF(518), component type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. Version: 9.0.0. 08:56:43.271948 518 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; PCUIF.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:43.271983 518 - Connected to MC. 08:56:43.271991 518 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCUIF_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:43.272072 mtc PCU_Tests.ttcn:285 PTC was created. Component reference: 518, alive: yes, type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:43.272105 mtc PCU_Tests.ttcn:287 Mapping port PCUIF(518):PCU to system:PCU. 08:56:43.273000 518 - Port PCU was started. 08:56:43.273016 518 - Port BTS was started. 08:56:43.273020 518 - Port MTC was started. 08:56:43.273023 518 - Component type PCUIF_Components.RAW_PCUIF_CT was initialized. 08:56:43.273050 518 - Port PCU was mapped to system:PCU. 08:56:43.273138 mtc PCU_Tests.ttcn:287 Map operation of PCUIF(518):PCU to system:PCU finished. 08:56:43.273164 mtc PCU_Tests.ttcn:290 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:43.275849 519 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.275854 519 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.275906 519 - TTCN-3 Parallel Test Component started on d7a2899d2dee. Component reference: BTS(519), component type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. Version: 9.0.0. 08:56:43.275921 519 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:43.275951 519 - Connected to MC. 08:56:43.275959 519 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_BTS_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:43.276037 mtc PCU_Tests.ttcn:290 PTC was created. Component reference: 519, alive: yes, type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:43.276069 mtc PCU_Tests.ttcn:291 Connecting ports BTS(519):PCUIF and PCUIF(518):BTS. 08:56:43.276248 518 - Port BTS is waiting for connection from BTS(519):PCUIF on UNIX pathname /tmp/ttcn3-portconn-8f4aa958. 08:56:43.276906 519 - Port CLCK was started. 08:56:43.276920 519 - Port PCUIF was started. 08:56:43.276924 519 - Port TC was started. 08:56:43.276928 519 - Component type PCUIF_Components.RAW_PCU_BTS_CT was initialized. 08:56:43.276946 519 - Port PCUIF has established the connection with PCUIF(518):BTS using transport type UNIX. 08:56:43.277016 518 - Port BTS has accepted the connection from BTS(519):PCUIF. 08:56:43.277069 mtc PCU_Tests.ttcn:291 Connect operation on BTS(519):PCUIF and PCUIF(518):BTS finished. 08:56:43.277096 mtc PCU_Tests.ttcn:292 Connecting ports BTS(519):TC and mtc:BTS. 08:56:43.277241 mtc PCU_Tests.ttcn:292 Port BTS is waiting for connection from BTS(519):TC on UNIX pathname /tmp/ttcn3-portconn-ec47fe16. 08:56:43.277309 519 - Port TC has established the connection with mtc:BTS using transport type UNIX. 08:56:43.277375 mtc PCU_Tests.ttcn:292 Port BTS has accepted the connection from BTS(519):TC. 08:56:43.277401 mtc PCU_Tests.ttcn:292 Connect operation on BTS(519):TC and mtc:BTS finished. 08:56:43.277425 mtc PCU_Tests.ttcn:260 Mapping port mtc:PCUVTY to system:PCUVTY. 08:56:43.277734 mtc PCU_Tests.ttcn:260 Message enqueued on PCUVTY from system integer : 2 id 1 08:56:43.277763 mtc PCU_Tests.ttcn:260 Port PCUVTY was mapped to system:PCUVTY. 08:56:43.277797 mtc PCU_Tests.ttcn:260 Map operation of mtc:PCUVTY to system:PCUVTY finished. 08:56:43.277823 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:43.277839 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:43.277852 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:43.277902 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "enable" 08:56:43.277937 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.277965 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:43.277976 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:43.277986 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:43.277996 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:43.278005 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:43.278017 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port PCUVTY succeeded: 2 with ? matched 08:56:43.278029 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port PCUVTY succeeded, message from system(): integer : 2 id 1 08:56:43.278042 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of PCUVTY. 08:56:43.278063 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 2 08:56:43.278100 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.278132 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:43.278145 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:43.278157 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of PCUVTY. 08:56:43.278169 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.278185 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:43.278210 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.278280 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 3 08:56:43.278341 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.278377 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.278397 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:43.278404 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 3 08:56:43.278411 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of PCUVTY. 08:56:43.278419 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.278430 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:43.278458 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.278527 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 4 08:56:43.278541 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.278553 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.278568 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:43.278574 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 4 08:56:43.278580 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of PCUVTY. 08:56:43.278590 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.278595 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "egprs only" 08:56:43.278607 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.278700 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:43.278707 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 6 08:56:43.278719 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.278731 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.278742 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:56:43.278750 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:56:43.278757 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY succeeded: "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with ? matched 08:56:43.278763 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port PCUVTY succeeded, message from system(): charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:43.278769 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 5 was extracted from the queue of PCUVTY. 08:56:43.278779 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.278789 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.278804 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:43.278810 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 6 08:56:43.278815 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of PCUVTY. 08:56:43.278820 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.278825 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:43.278835 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.278906 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 7 08:56:43.278916 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.278930 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:43.278938 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 7 08:56:43.278943 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of PCUVTY. 08:56:43.278948 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.278954 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:43.278963 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.279030 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 8 08:56:43.279041 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.279052 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.279070 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:43.279076 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 8 08:56:43.279082 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of PCUVTY. 08:56:43.279087 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.279092 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:43.279100 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.279150 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 9 08:56:43.279162 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.279175 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.279189 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:43.279195 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 9 08:56:43.279200 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of PCUVTY. 08:56:43.279205 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.279210 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "no two-phase-access" 08:56:43.279218 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.279257 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 10 08:56:43.279267 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.279277 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:43.279291 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:43.279297 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 10 08:56:43.279301 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of PCUVTY. 08:56:43.279307 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.279311 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:43.279319 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.279425 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 11 08:56:43.279766 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.279804 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:43.279820 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 11 08:56:43.279834 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of PCUVTY. 08:56:43.279854 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.279866 mtc StatsD_Checker.ttcnpp:373 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:43.283002 520 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.283007 520 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.283070 520 - TTCN-3 Parallel Test Component started on d7a2899d2dee. Component reference: TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520), component type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. Version: 9.0.0. 08:56:43.283084 520 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:43.283118 520 - Connected to MC. 08:56:43.283125 520 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:43.283163 mtc StatsD_Checker.ttcnpp:373 PTC was created. Component reference: 520, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:43.283173 mtc StatsD_Checker.ttcnpp:374 Starting function main("172.18.190.10", 8125) on component TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520). 08:56:43.283196 mtc StatsD_Checker.ttcnpp:374 Function was started. 08:56:43.283201 mtc PCU_Tests.ttcn:299 Connecting ports mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC. 08:56:43.283265 mtc PCU_Tests.ttcn:299 Port STATSD_PROC is waiting for connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-e155a802. 08:56:43.284140 520 - Port STATSVTY was started. 08:56:43.284156 520 - Port STATSD_PROC was started. 08:56:43.284163 520 - Port STATS was started. 08:56:43.284166 520 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 08:56:43.284211 520 - Starting function main("172.18.190.10", 8125). 08:56:43.284254 520 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 08:56:43.284272 mtc PCU_Tests.ttcn:299 Port STATSD_PROC has accepted the connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC. 08:56:43.284291 mtc PCU_Tests.ttcn:299 Connect operation on mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC finished. 08:56:43.284298 mtc PCU_Tests.ttcn:301 Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false) on component PCUIF(518). 08:56:43.284320 mtc PCU_Tests.ttcn:301 Function was started. 08:56:43.284361 520 StatsD_Checker.ttcnpp:117 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATS to system:STATS. 08:56:43.284392 518 - Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false). 08:56:43.284399 520 StatsD_Checker.ttcnpp:117 Port STATS was mapped to system:STATS. 08:56:43.284407 mtc PCU_Tests.ttcn:302 Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true) on component BTS(519). 08:56:43.284417 520 StatsD_Checker.ttcnpp:117 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATS to system:STATS finished. 08:56:43.284491 518 PCUIF_Components.ttcn:648 "Init PCU interface on '/data/unix/pcu_bts', waiting for connection..." 08:56:43.284519 520 StatsD_Checker.ttcnpp:118 entering f__IPL4__PROVIDER__listen: 172.18.190.10:8125 / UDP 08:56:43.284714 mtc PCU_Tests.ttcn:302 Function was started. 08:56:43.284751 518 PCUIF_CodecPort.ttcn:117 Sent on PCU to system @UD_Types.UD_listen : { path := "/data/unix/pcu_bts" } 08:56:43.284909 519 - Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true). 08:56:43.284930 518 PCUIF_CodecPort.ttcn:117 Message enqueued on PCU from system @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:43.285003 518 PCUIF_CodecPort.ttcn:118 Start timer T: 5 s 08:56:43.285067 518 PCUIF_CodecPort.ttcn:120 Matching on port PCU succeeded: matched 08:56:43.285083 518 PCUIF_CodecPort.ttcn:120 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:43.285090 518 PCUIF_CodecPort.ttcn:120 Message with id 1 was extracted from the queue of PCU. 08:56:43.285126 518 PCUIF_Components.ttcn:652 Start timer T_Conn: 10 s 08:56:43.285209 520 StatsD_Checker.ttcnpp:126 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSVTY to system:STATSVTY. 08:56:43.285515 519 PCUIF_Components.ttcn:499 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:43.285732 520 StatsD_Checker.ttcnpp:126 Message enqueued on STATSVTY from system integer : 2 id 1 08:56:43.285820 520 StatsD_Checker.ttcnpp:126 Port STATSVTY was mapped to system:STATSVTY. 08:56:43.285865 520 StatsD_Checker.ttcnpp:126 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSVTY to system:STATSVTY finished. 08:56:43.286022 520 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:43.286083 520 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:43.286106 520 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:43.286235 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 08:56:43.286334 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.286404 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:43.286420 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:43.286431 520 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:43.286441 520 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:43.286464 520 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:43.286516 520 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 08:56:43.286541 520 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 08:56:43.286557 520 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 08:56:43.286647 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 2 08:56:43.286743 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.286779 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:43.286792 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:43.286805 520 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 08:56:43.286826 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.286847 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:43.286878 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:43.286906 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 3 08:56:43.286935 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:43.286962 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:43.286974 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 3 08:56:43.286986 520 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 08:56:43.286998 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:43.287955 521 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.287960 521 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:43.288017 521 - TTCN-3 Parallel Test Component started on d7a2899d2dee. Component reference: ClckGen-0(521), component type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. Version: 9.0.0. 08:56:43.288029 521 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; ClckGen-0.ConsoleMask:=ERROR; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:43.288057 521 - Connected to MC. 08:56:43.288064 521 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_ClckGen_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:43.288109 519 PCUIF_Components.ttcn:499 PTC was created. Component reference: 521, alive: yes, type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:43.288187 519 PCUIF_Components.ttcn:500 Connecting ports ClckGen-0(521):CLCK and BTS(519):CLCK. 08:56:43.288307 519 PCUIF_Components.ttcn:500 Port CLCK is waiting for connection from ClckGen-0(521):CLCK on UNIX pathname /tmp/ttcn3-portconn-9e55a75a. 08:56:43.288882 521 - Port CLCK was started. 08:56:43.288895 521 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was initialized. 08:56:43.288913 521 - Port CLCK has established the connection with BTS(519):CLCK using transport type UNIX. 08:56:43.288943 519 PCUIF_Components.ttcn:500 Port CLCK has accepted the connection from ClckGen-0(521):CLCK. 08:56:43.288963 519 PCUIF_Components.ttcn:500 Connect operation on ClckGen-0(521):CLCK and BTS(519):CLCK finished. 08:56:45.059155 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:45.059256 518 PCUIF_Components.ttcn:654 Matching on port PCU succeeded: matched 08:56:45.059281 518 PCUIF_Components.ttcn:654 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:45.059296 518 PCUIF_Components.ttcn:654 Message with id 2 was extracted from the queue of PCU. 08:56:45.059328 518 PCUIF_Components.ttcn:655 OsmoPCU is now connected 08:56:45.059518 518 PCUIF_Components.ttcn:658 Sent on BTS to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } 08:56:45.059636 518 PCUIF_Components.ttcn:660 setverdict(pass): none -> pass 08:56:45.059679 518 PCUIF_Components.ttcn:661 Stop timer T_Conn: 10 s 08:56:45.059777 519 PCUIF_Components.ttcn:503 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:45.059850 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '7000000000312E352E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 3 08:56:45.059916 519 PCUIF_Components.ttcn:503 Matching on port PCUIF succeeded: matched 08:56:45.059961 519 PCUIF_Components.ttcn:503 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:45.059983 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '7000000000312E352E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.059988 519 PCUIF_Components.ttcn:503 Message with id 1 was extracted from the queue of PCUIF. 08:56:45.060260 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } 08:56:45.060408 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } }, id := 0 } id 3 08:56:45.060445 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.060469 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } }, id := 0 } id 3 08:56:45.060482 518 PCUIF_Components.ttcn:665 Message with id 3 was extracted from the queue of PCU. 08:56:45.060508 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } 08:56:45.060638 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } id 2 08:56:45.060771 519 PCUIF_Components.ttcn:507 Matching on port PCUIF succeeded: matched 08:56:45.060799 519 PCUIF_Components.ttcn:507 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } id 2 08:56:45.060816 519 PCUIF_Components.ttcn:507 Message with id 2 was extracted from the queue of PCUIF. 08:56:45.060832 519 PCUIF_Components.ttcn:508 Rx TXT.ind from the PCU, version is "1.5.0" 08:56:45.061541 519 PCUIF_Components.ttcn:511 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:45.062012 519 PCUIF_Components.ttcn:377 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.062093 519 PCUIF_Components.ttcn:522 Sent on TC to mtc @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } 08:56:45.062136 519 PCUIF_Components.ttcn:525 Starting function f_ClckGen_CT_handler(0) on component ClckGen-0(521). 08:56:45.062176 mtc PCU_Tests.ttcn:305 Message enqueued on BTS from BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:45.062211 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:45.062242 mtc PCU_Tests.ttcn:305 Matching on port BTS succeeded: matched 08:56:45.062255 519 PCUIF_Components.ttcn:525 Function was started. 08:56:45.062264 mtc PCU_Tests.ttcn:305 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:45.062281 mtc PCU_Tests.ttcn:305 Message with id 1 was extracted from the queue of BTS. 08:56:45.062349 521 - Starting function f_ClckGen_CT_handler(0). 08:56:45.062366 mtc StatsD_Checker.ttcnpp:384 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_reset : { } 08:56:45.062461 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.062504 520 StatsD_Checker.ttcnpp:135 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 08:56:45.062608 520 StatsD_Checker.ttcnpp:136 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:45.062643 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:45.062659 520 StatsD_Checker.ttcnpp:136 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 08:56:45.062664 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } 08:56:45.062694 520 StatsD_Checker.ttcnpp:136 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:45.062716 518 PCUIF_Components.ttcn:678 Message with id 1 was extracted from the queue of BTS. 08:56:45.062717 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:45.062773 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:45.062780 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:45.062792 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.062844 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:45.062864 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:45.062876 519 PCUIF_Components.ttcn:444 Message with id 1 was extracted from the queue of CLCK. 08:56:45.062911 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:45.062936 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 4 08:56:45.063006 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:45.063048 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:45.063065 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 4 08:56:45.063089 520 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 08:56:45.063104 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:45.063176 520 StatsD_Checker.ttcnpp:139 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 08:56:45.063277 mtc StatsD_Checker.ttcnpp:384 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_reset : { } id 1 08:56:45.063304 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } }, id := 0 } 08:56:45.063319 mtc StatsD_Checker.ttcnpp:385 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:45.063336 mtc StatsD_Checker.ttcnpp:385 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520): @StatsD_Checker.STATSD_reset : { } id 1 08:56:45.063353 mtc StatsD_Checker.ttcnpp:385 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:45.063374 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.775250. 08:56:45.063405 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12BE0A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:45.063442 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '110'B } } 08:56:45.063480 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111000000'B 08:56:45.063506 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (686): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '110'B } } 08:56:45.063548 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=686, TA=0 08:56:45.063600 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.063657 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.063689 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:45.063838 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.063910 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.063942 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.063970 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.063989 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:45.064007 519 PCUIF_Components.ttcn:352 Message with id 1 was extracted from the queue of TC. 08:56:45.064035 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.064042 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12BE0A0000000000000000000000000000000000000000000000000000000005'O 08:56:45.064237 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12BE0A0000000000000000000000000000000000000000000000000000000005'O, id := 0 } 08:56:45.064439 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:45.064800 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:45.064859 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:45.064909 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.064931 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:45.064949 518 PCUIF_Components.ttcn:678 Message with id 2 was extracted from the queue of BTS. 08:56:45.065037 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:45.065059 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.065094 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.065250 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.065301 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.065320 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:45.065335 518 PCUIF_Components.ttcn:678 Message with id 3 was extracted from the queue of BTS. 08:56:45.065376 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } }, id := 0 } 08:56:45.065392 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:45.065416 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.065547 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.065594 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.065613 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:45.065630 518 PCUIF_Components.ttcn:678 Message with id 4 was extracted from the queue of BTS. 08:56:45.065701 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.065719 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 686, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.065754 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AE0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.065884 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AE0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.065976 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 4 08:56:45.066003 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.066113 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:45.066150 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:45.066171 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.066188 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:45.066203 518 PCUIF_Components.ttcn:665 Message with id 4 was extracted from the queue of PCU. 08:56:45.066220 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:45.066294 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:45.066402 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_ACT_REQ (64) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.066440 519 PCUIF_Components.ttcn:529 Matching on port PCUIF succeeded: matched 08:56:45.066458 519 PCUIF_Components.ttcn:529 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:45.066475 519 PCUIF_Components.ttcn:529 Message with id 3 was extracted from the queue of PCUIF. 08:56:45.066489 519 PCUIF_Components.ttcn:530 "Rx ACT.req from the PCU: TRX0/TS7" 08:56:45.066924 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 5 08:56:45.066963 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.067106 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.067201 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:45.067382 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.067412 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:45.067428 518 PCUIF_Components.ttcn:665 Message with id 5 was extracted from the queue of PCU. 08:56:45.067447 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.067449 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.067505 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.067521 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:45.067575 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.067601 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.067625 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.067654 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.067668 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.067681 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:45.067701 519 PCUIF_Components.ttcn:556 Message with id 4 was extracted from the queue of PCUIF. 08:56:45.067768 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O 08:56:45.067896 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000047200000000B2B2B2B2B2B'O, confirm := false } 08:56:45.067987 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.068118 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000047200000000B2B2B2B2B2B'O 08:56:45.068766 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.069275 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.069617 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:45.069772 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.069817 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047200000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:45.069850 mtc GPRS_Components.ttcn:1068 Message with id 2 was extracted from the queue of BTS. 08:56:45.069879 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01110'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.069989 mtc GPRS_Components.ttcn:1077 setverdict(pass): none -> pass 08:56:45.070027 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.070052 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.410189. 08:56:45.070103 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.070141 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:45.070170 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.070213 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:45.070262 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.070335 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.070343 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:45.070427 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.070479 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.070504 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.070525 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.070542 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:45.070560 519 PCUIF_Components.ttcn:352 Message with id 2 was extracted from the queue of TC. 08:56:45.070579 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.070647 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:45.070700 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.070722 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:45.070738 518 PCUIF_Components.ttcn:678 Message with id 5 was extracted from the queue of BTS. 08:56:45.070776 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.070795 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.070862 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.071001 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.072046 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 6 08:56:45.072109 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.072138 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.072181 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.072223 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.072273 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:45.072299 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.072320 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:45.072338 518 PCUIF_Components.ttcn:665 Message with id 6 was extracted from the queue of PCU. 08:56:45.072360 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.072420 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:45.072459 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.072480 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.072497 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.072521 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.072535 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.072548 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:45.072559 519 PCUIF_Components.ttcn:556 Message with id 5 was extracted from the queue of PCUIF. 08:56:45.072570 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O 08:56:45.072586 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A10800000B2B2B2B2B2B'O, confirm := false } 08:56:45.072601 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.072655 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A10800000B2B2B2B2B2B'O 08:56:45.072721 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.072839 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.073005 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:45.073140 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.073187 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:45.073228 mtc GPRS_Components.ttcn:1068 Message with id 3 was extracted from the queue of BTS. 08:56:45.073262 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.073373 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.073415 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.073439 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.907695. 08:56:45.073482 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:45.073517 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111100000'B 08:56:45.073545 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (687): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:45.073578 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=687, TA=0 08:56:45.073619 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.073663 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.073672 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:45.073707 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.073725 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.073739 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.073750 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.073763 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:45.073772 519 PCUIF_Components.ttcn:352 Message with id 3 was extracted from the queue of TC. 08:56:45.073783 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.073834 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:45.073878 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.073898 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:45.073914 518 PCUIF_Components.ttcn:678 Message with id 6 was extracted from the queue of BTS. 08:56:45.073952 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.073971 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.074002 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.074135 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.075207 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 7 08:56:45.075252 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.075363 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.075411 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:45.075434 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.075454 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:45.075471 518 PCUIF_Components.ttcn:665 Message with id 7 was extracted from the queue of PCU. 08:56:45.075491 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.075542 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:45.075575 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.075601 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.075618 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.075636 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.075648 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.075661 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:45.075672 519 PCUIF_Components.ttcn:556 Message with id 6 was extracted from the queue of PCUIF. 08:56:45.075682 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O 08:56:45.075697 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000047A21000000B2B2B2B2B2B'O, confirm := false } 08:56:45.075711 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.075727 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000047A21000000B2B2B2B2B2B'O 08:56:45.075786 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.075918 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.076105 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:45.076242 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.076288 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:45.076322 mtc GPRS_Components.ttcn:1068 Message with id 4 was extracted from the queue of BTS. 08:56:45.076355 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.076479 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.076527 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.076553 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.445102. 08:56:45.076601 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.076638 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:45.076665 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.076697 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:45.076745 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.076790 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:45.076794 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.076808 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.076825 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.076847 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.076861 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.076867 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } 08:56:45.076872 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.076884 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:45.076895 519 PCUIF_Components.ttcn:352 Message with id 4 was extracted from the queue of TC. 08:56:45.076898 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.076907 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.076953 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:45.076962 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:45.077000 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.077018 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:45.077034 518 PCUIF_Components.ttcn:678 Message with id 7 was extracted from the queue of BTS. 08:56:45.077066 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:45.077068 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.077080 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:45.077086 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.077090 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:45.077100 519 PCUIF_Components.ttcn:460 Message with id 2 was extracted from the queue of CLCK. 08:56:45.077117 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.077173 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.077254 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.077312 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 8 08:56:45.077336 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.077354 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 8 08:56:45.077369 518 PCUIF_Components.ttcn:678 Message with id 8 was extracted from the queue of BTS. 08:56:45.077397 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:45.077414 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.077444 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.077570 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.078379 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 8 08:56:45.078456 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.078581 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.078660 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:45.078686 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.078699 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:45.078707 518 PCUIF_Components.ttcn:665 Message with id 8 was extracted from the queue of PCU. 08:56:45.078719 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.078760 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:45.078794 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.078816 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.078833 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.078852 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.078864 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.078877 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:45.078893 519 PCUIF_Components.ttcn:556 Message with id 7 was extracted from the queue of PCUIF. 08:56:45.078903 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O 08:56:45.078919 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A31800000B2B2B2B2B2B'O, confirm := false } 08:56:45.078935 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.078953 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A31800000B2B2B2B2B2B'O 08:56:45.079020 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.079108 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.079253 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:45.079376 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.079415 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A31800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:45.079454 mtc GPRS_Components.ttcn:1068 Message with id 5 was extracted from the queue of BTS. 08:56:45.079482 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.079590 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.079629 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.079651 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.381393. 08:56:45.079691 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.079725 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:45.079750 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.079779 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:45.079819 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.079856 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:45.079859 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.079888 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.079905 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.079919 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.079931 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.079943 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:45.079953 519 PCUIF_Components.ttcn:352 Message with id 5 was extracted from the queue of TC. 08:56:45.079965 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.079992 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:45.080008 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.080015 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:45.080022 518 PCUIF_Components.ttcn:678 Message with id 9 was extracted from the queue of BTS. 08:56:45.080041 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.080048 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.080065 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.080159 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.081096 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 9 08:56:45.081108 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.081172 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.081194 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:45.081202 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.081210 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:45.081216 518 PCUIF_Components.ttcn:665 Message with id 9 was extracted from the queue of PCU. 08:56:45.081224 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.081243 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:45.081263 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.081279 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.081294 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.081313 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.081324 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.081336 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:45.081347 519 PCUIF_Components.ttcn:556 Message with id 8 was extracted from the queue of PCUIF. 08:56:45.081357 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O 08:56:45.081371 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A42000000B2B2B2B2B2B'O, confirm := false } 08:56:45.081387 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.081399 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A42000000B2B2B2B2B2B'O 08:56:45.081434 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.081493 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.081532 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.081596 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } 08:56:45.081606 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:45.081630 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.081636 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:45.081658 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:45.081667 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:45.081677 519 PCUIF_Components.ttcn:444 Message with id 3 was extracted from the queue of CLCK. 08:56:45.081692 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:45.081713 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 10 08:56:45.081713 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.081724 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.081730 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 10 08:56:45.081735 518 PCUIF_Components.ttcn:678 Message with id 10 was extracted from the queue of BTS. 08:56:45.081747 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } }, id := 0 } 08:56:45.081753 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:45.081753 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A42000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:45.081762 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.081783 mtc GPRS_Components.ttcn:1068 Message with id 6 was extracted from the queue of BTS. 08:56:45.081811 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.081841 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.081914 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.081949 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.081969 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.461423. 08:56:45.082000 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.082029 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:45.082050 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:45.082078 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:45.082113 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.082144 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:45.082150 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.082166 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.082180 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.082194 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.082205 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.082217 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:45.082226 519 PCUIF_Components.ttcn:352 Message with id 6 was extracted from the queue of TC. 08:56:45.082237 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.082288 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 11 08:56:45.082349 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.082381 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 11 08:56:45.082399 518 PCUIF_Components.ttcn:678 Message with id 11 was extracted from the queue of BTS. 08:56:45.082431 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.082450 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.082477 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.082601 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.083567 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 10 08:56:45.083603 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.083713 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.083760 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:45.083784 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.083803 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:45.083819 518 PCUIF_Components.ttcn:665 Message with id 10 was extracted from the queue of PCU. 08:56:45.083839 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.083874 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:45.083894 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.083910 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.083925 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.083938 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.083949 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.083961 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:45.083970 519 PCUIF_Components.ttcn:556 Message with id 9 was extracted from the queue of PCUIF. 08:56:45.083980 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O 08:56:45.083993 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A52800000B2B2B2B2B2B'O, confirm := false } 08:56:45.084006 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.084018 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A52800000B2B2B2B2B2B'O 08:56:45.084054 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.084113 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.084226 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:45.084330 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.084367 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:45.084404 mtc GPRS_Components.ttcn:1068 Message with id 7 was extracted from the queue of BTS. 08:56:45.084432 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.084530 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.084564 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.084586 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.961008. 08:56:45.084619 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:45.084647 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111100000'B 08:56:45.084669 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (687): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:45.084703 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=687, TA=0 08:56:45.084741 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.084784 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.084830 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:45.084899 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.084920 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.084930 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.084945 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.084953 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:45.084960 519 PCUIF_Components.ttcn:352 Message with id 7 was extracted from the queue of TC. 08:56:45.084968 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.085006 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 12 08:56:45.085048 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.085067 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 12 08:56:45.085082 518 PCUIF_Components.ttcn:678 Message with id 12 was extracted from the queue of BTS. 08:56:45.085111 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.085128 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.085154 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.085273 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AF0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.086043 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 11 08:56:45.086094 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.086212 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.086261 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:45.086263 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.086285 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.086314 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:45.086326 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.086331 518 PCUIF_Components.ttcn:665 Message with id 11 was extracted from the queue of PCU. 08:56:45.086352 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.086394 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:45.086415 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.086428 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.086438 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.086450 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.086458 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.086466 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:45.086473 519 PCUIF_Components.ttcn:556 Message with id 10 was extracted from the queue of PCUIF. 08:56:45.086479 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O 08:56:45.086489 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000047A63000000B2B2B2B2B2B'O, confirm := false } 08:56:45.086502 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.086513 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000047A63000000B2B2B2B2B2B'O 08:56:45.086555 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.086605 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:45.086708 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:45.086762 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.086777 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000047A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:45.086795 mtc GPRS_Components.ttcn:1068 Message with id 8 was extracted from the queue of BTS. 08:56:45.086805 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01111'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:45.086866 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.086880 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:45.086890 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '111'B } } 08:56:45.086906 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010111100000'B 08:56:45.086957 mtc PCU_Tests.ttcn:4777 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.086985 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:45.086992 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:45.087029 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:45.087052 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.087066 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:45.087077 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:45.087088 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:45.087098 519 PCUIF_Components.ttcn:352 Message with id 8 was extracted from the queue of TC. 08:56:45.087110 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.087148 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:45.087194 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.087212 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:45.087227 518 PCUIF_Components.ttcn:678 Message with id 13 was extracted from the queue of BTS. 08:56:45.087256 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:45.087273 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 687, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:45.087298 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AF0200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.087417 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AF0200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.087794 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 12 08:56:45.087834 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.087950 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.087999 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:45.088021 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:45.088039 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:45.088055 518 PCUIF_Components.ttcn:665 Message with id 12 was extracted from the queue of PCU. 08:56:45.088074 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:45.088109 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:45.088129 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:45.088145 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.088159 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:45.088172 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:45.088189 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:45.088200 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:45.088210 519 PCUIF_Components.ttcn:556 Message with id 11 was extracted from the queue of PCUIF. 08:56:45.088219 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O 08:56:45.088233 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B'O, confirm := false } 08:56:45.088247 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:45.088260 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B'O 08:56:45.088405 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01111'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:45.088519 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01111'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } 08:56:45.088613 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01111'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:45.088660 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:45.088676 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803BC2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01111'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:45.088691 mtc GPRS_Components.ttcn:1068 Message with id 9 was extracted from the queue of BTS. 08:56:45.088699 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01111'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:45.088754 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:45.088780 mtc PCU_Tests.ttcn:4809 setverdict(pass): pass -> pass, component reason not changed 08:56:45.088832 mtc StatsD_Checker.ttcnpp:420 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } }, wait_converge := false, use_snapshot := false, snapshot := { } } 08:56:45.089143 520 StatsD_Checker.ttcnpp:135 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } }, wait_converge := false, use_snapshot := false, snapshot := { } } id 2 08:56:45.089310 520 StatsD_Checker.ttcnpp:136 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_reset. 08:56:45.089344 520 StatsD_Checker.ttcnpp:145 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_snapshot. 08:56:45.089464 520 StatsD_Checker.ttcnpp:149 Matching on port STATSD_PROC succeeded: { expects := matched, wait_converge := false with ? matched, use_snapshot := false with ? matched, snapshot := matched } 08:56:45.089573 520 StatsD_Checker.ttcnpp:149 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } }, wait_converge := false, use_snapshot := false, snapshot := { } } id 1 08:56:45.089597 520 StatsD_Checker.ttcnpp:149 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:45.089762 520 StatsD_Checker.ttcnpp:311 Port STATS was cleared. 08:56:45.089788 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats report" 08:56:45.089854 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:45.090345 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E362E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E362E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c") } id 1 08:56:45.090536 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c" 08:56:45.090708 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.090726 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.090742 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.090755 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.090772 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.090785 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.090829 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.090845 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.090865 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.090903 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.090960 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.090963 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.090987 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.090987 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.091004 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.091017 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091030 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091042 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.091060 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.091073 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091085 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.091124 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091140 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.091177 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091191 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.091204 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091227 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091247 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.091260 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.091271 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091283 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.091296 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091310 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091322 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.091335 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.091347 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.091362 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091374 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.091387 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.091398 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091410 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091426 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.091439 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.091450 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091462 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.091474 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091485 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.091497 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091509 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.091520 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091532 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091548 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.091560 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.091572 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091584 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.091596 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091609 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091621 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.091634 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.091646 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.091660 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091671 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.091685 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.091697 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091713 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091725 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.091737 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.091749 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091761 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.091773 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091785 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.091797 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091809 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.091821 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091833 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091849 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.091861 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.091873 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091885 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.091896 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.091909 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091920 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.091934 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.091945 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.091959 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.091972 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.091985 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092000 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092013 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092025 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.092041 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092053 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092066 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.092077 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092089 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.092101 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092112 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.092124 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092137 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092153 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.092165 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.092177 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092195 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.092209 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092223 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092235 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.092248 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092260 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092273 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092286 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.092299 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092311 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092323 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092340 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.092354 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092365 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092377 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.092390 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092402 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.092414 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092426 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.092437 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092450 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092465 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.092477 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.092489 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092500 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.092513 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092525 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092537 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.092550 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092562 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092576 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092588 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.092601 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092613 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092624 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092640 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.092652 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092663 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092675 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.092687 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092699 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.092711 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092723 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.092734 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092747 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092758 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.092770 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.092782 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092797 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.092810 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092823 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092835 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.092848 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092861 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092874 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092886 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.092900 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.092912 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.092923 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092935 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.092947 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.092959 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.092977 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.092990 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093002 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.093014 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093026 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.093039 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093051 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093063 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.093075 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.093086 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093099 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.093110 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093124 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093140 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.093153 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.093165 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.093178 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093190 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.093203 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.093215 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093227 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093239 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.093251 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.093262 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093274 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.093286 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093298 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.093310 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093326 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.093338 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093351 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093363 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.093375 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.093386 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093398 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.093411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093423 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093435 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.093449 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.093461 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.093475 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093488 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.093501 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.093513 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093525 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093542 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.093556 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.093568 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093581 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.093592 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093603 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.093614 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093625 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.093638 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093650 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093661 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.093673 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.093684 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093701 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.093713 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093726 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093738 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.093750 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.093761 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.093775 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093787 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.093799 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.093815 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093827 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093838 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.093850 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.093861 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093872 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.093883 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093898 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.093910 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093924 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.093937 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.093950 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093961 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.093973 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.093984 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.093996 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.094009 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094022 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094034 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.094047 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094058 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094071 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094090 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.094103 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094119 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094131 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094142 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.094153 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094164 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094175 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.094186 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094197 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.094208 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094222 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.094234 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094246 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094257 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.094269 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.094279 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094294 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.094329 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094342 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094357 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.094371 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094382 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094396 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094407 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.094420 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094431 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094444 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094455 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.094466 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094478 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094490 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.094501 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094515 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.094527 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094545 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.094560 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094572 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094584 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.094597 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.094608 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094620 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.094632 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094645 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094657 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.094670 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094682 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094696 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094710 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.094724 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094735 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094747 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094759 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.094771 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094783 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094798 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.094810 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094822 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.094834 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094845 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.094857 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094869 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094881 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.094893 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.094905 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094916 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.094929 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.094942 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.094955 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.094970 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.094982 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.094997 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095009 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.095023 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.095036 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095055 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095068 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.095082 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.095093 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095105 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.095117 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095129 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.095141 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095153 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.095166 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095178 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095193 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.095206 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.095219 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095230 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.095244 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095256 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095268 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.095282 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.095298 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.095312 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095324 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.095337 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.095350 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095361 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095372 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.095384 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.095395 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095408 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.095419 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095431 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.095443 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095473 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.095486 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095499 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095511 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.095523 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.095535 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095548 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.095561 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095574 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095591 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.095605 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.095611 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.095617 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.095631 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095644 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.095657 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.095660 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } 08:56:45.095668 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095679 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095689 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.095691 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.095703 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.095714 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095723 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:45.095726 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.095738 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095749 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.095761 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095773 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.095786 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.095798 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095804 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:45.095809 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.095821 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.095823 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:45.095833 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.095835 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:45.095855 519 PCUIF_Components.ttcn:460 Message with id 4 was extracted from the queue of CLCK. 08:56:45.095900 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.095978 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:45.096046 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.096067 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.096069 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:45.096086 518 PCUIF_Components.ttcn:678 Message with id 14 was extracted from the queue of BTS. 08:56:45.096086 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096102 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096113 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.096126 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096131 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:45.096138 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.096151 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096152 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.096164 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.096176 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096188 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096193 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.096200 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096211 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.096223 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.096235 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096247 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.096259 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096270 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.096281 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096294 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.096307 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096319 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096330 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.096333 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.096342 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.096353 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096365 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.096382 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096395 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096406 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.096419 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096430 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.096443 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096455 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.096468 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096479 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096490 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096501 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.096513 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.096524 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096535 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.096547 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096558 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.096569 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096580 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.096592 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096604 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096615 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.096627 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.096638 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096650 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.096662 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096674 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096689 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.096702 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096714 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.096727 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096740 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.096752 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096764 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096775 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096786 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.096798 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.096809 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096821 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.096832 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096844 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.096855 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096866 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.096878 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096890 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096901 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.096912 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.096924 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096935 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.096946 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.096959 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.096970 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.096983 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.096995 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097008 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097020 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.097032 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097044 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097061 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097073 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.097085 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097096 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097107 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.097118 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097129 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.097142 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097153 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.097164 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097176 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097187 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.097199 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.097211 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097222 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.097233 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097245 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097257 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.097269 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097281 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097294 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097306 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.097318 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097330 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097342 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097353 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.097365 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097376 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097388 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.097399 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097411 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.097423 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097440 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.097453 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097465 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097476 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.097487 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.097498 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097509 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.097520 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097532 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097543 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.097555 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097566 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097579 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097590 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.097603 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097613 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097624 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097635 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.097647 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097657 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097668 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.097680 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097692 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.097703 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097714 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.097725 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097736 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097748 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.097759 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.097771 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097782 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.097795 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097806 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097817 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.097830 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097841 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097853 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097864 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.097876 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.097887 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.097900 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097915 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.097927 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.097938 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097948 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.097960 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097973 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.097983 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.097995 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.098007 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.098018 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098029 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.098040 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.098051 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098063 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.098076 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.098087 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098098 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.098111 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.098121 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.098134 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098145 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.098157 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.098168 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.098180 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098191 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.098203 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.098214 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098224 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.098235 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098247 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.098258 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098269 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.098280 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.098291 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:45.098318 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.098331 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.098342 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.098352 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.098364 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.098376 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.098387 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.098400 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.098411 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.098423 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.098434 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.098446 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.098457 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.098468 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.098478 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.098491 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.098501 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.098512 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.098524 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.098543 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.098555 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.098566 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.098577 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.098617 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.098632 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.098644 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.098656 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.098667 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.098680 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.098762 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:45.098965 520 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:45.099163 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E352E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E352E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E342E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E342E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373313A307C63'O ("TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c") } id 2 08:56:45.099206 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c" 08:56:45.099256 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099268 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.099280 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.099291 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099303 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.099315 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099328 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099345 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.099361 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.099373 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.099388 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099400 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.099413 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.099424 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099436 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099448 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.099460 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.099472 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099488 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.099500 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099512 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.099523 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099534 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.099546 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099557 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099569 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.099581 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.099592 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099607 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.099619 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099631 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099643 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.099656 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.099667 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.099681 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099692 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.099705 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.099716 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099727 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099739 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.099750 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.099762 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099777 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.099789 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099801 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.099812 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099823 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.099834 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099846 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099857 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.099869 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.099881 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099896 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.099908 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.099920 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099932 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.099945 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.099956 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.099969 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.099981 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.099993 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100004 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100015 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100026 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.100038 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100049 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100064 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.100076 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100088 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.100099 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100110 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.100122 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100133 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100144 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.100156 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.100167 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100183 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.100196 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100208 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100219 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.100231 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100243 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100255 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100267 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.100281 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100292 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100303 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100315 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.100318 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.100327 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100339 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100355 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.100366 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100378 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.100379 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } 08:56:45.100389 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100400 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.100409 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.100411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100423 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100429 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:45.100435 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.100446 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.100458 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100473 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:45.100473 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.100485 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:45.100485 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100496 519 PCUIF_Components.ttcn:444 Message with id 5 was extracted from the queue of CLCK. 08:56:45.100498 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100510 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.100518 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:45.100523 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100534 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100546 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100558 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.100570 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100579 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 15 08:56:45.100581 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100592 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100604 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.100616 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100626 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.100627 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100642 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.100644 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 15 08:56:45.100655 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100659 518 PCUIF_Components.ttcn:678 Message with id 15 was extracted from the queue of BTS. 08:56:45.100666 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.100678 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100689 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.100694 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } }, id := 0 } 08:56:45.100700 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100712 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:45.100712 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100723 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.100735 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.100741 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.100746 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100758 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.100769 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100781 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100796 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.100808 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100819 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100833 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100845 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.100857 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.100868 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.100878 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.100879 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100891 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.100903 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.100914 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100925 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.100952 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100968 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.100980 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.100991 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.101002 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101014 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101026 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.101038 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.101049 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101060 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.101071 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101084 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101096 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.101108 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101119 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101136 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101148 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.101161 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101172 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101183 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101194 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.101206 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101217 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101228 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.101239 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101251 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.101262 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101276 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.101288 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101300 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101311 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.101324 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.101335 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101346 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.101358 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101370 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101381 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.101394 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101405 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101417 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101429 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.101441 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101452 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101463 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101478 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.101490 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101501 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101512 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.101523 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101535 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.101547 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101558 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.101569 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101581 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101592 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.101604 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.101616 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101631 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.101644 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101656 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101669 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.101681 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101692 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101705 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101717 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.101729 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101740 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101752 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101763 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.101775 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101786 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101798 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.101809 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101825 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.101837 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101848 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.101860 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101871 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101883 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.101895 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.101907 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101919 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.101931 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.101943 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.101956 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.101968 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.101980 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.101994 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102010 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.102023 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102035 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102046 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102058 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.102070 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102081 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102092 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.102104 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102116 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.102128 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102139 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.102150 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102162 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102177 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.102189 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.102200 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102212 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.102224 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102236 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102248 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.102261 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102272 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102285 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102321 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.102337 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102348 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102360 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102371 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.102384 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102395 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102406 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.102418 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102434 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.102446 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102458 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.102470 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102482 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102494 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.102505 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.102516 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102529 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.102540 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102552 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102564 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.102576 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102590 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102604 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102616 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.102629 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102645 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102657 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102669 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.102681 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102692 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102704 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.102715 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102726 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.102739 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102750 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.102762 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102775 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102787 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.102800 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.102811 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102822 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.102834 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102846 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102863 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.102875 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102887 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102900 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102913 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.102926 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.102937 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.102949 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102961 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.102973 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.102985 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.102998 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.103009 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103021 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.103032 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103044 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.103055 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103067 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103084 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.103097 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.103109 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103120 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.103132 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103144 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103157 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.103171 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103184 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103198 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103210 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.103223 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103235 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103247 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103258 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.103270 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103281 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103294 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.103305 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103317 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.103330 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103345 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.103359 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103371 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103382 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.103394 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.103405 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103416 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.103428 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103440 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103452 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.103465 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103476 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103489 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103501 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.103514 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103527 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103540 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103551 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.103566 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103582 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103596 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.103613 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103628 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.103641 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103653 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.103666 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103680 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103692 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.103705 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.103718 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103763 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.103795 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103805 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103813 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.103821 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103827 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103836 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103841 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.103847 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103852 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103857 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103863 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.103868 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103873 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103887 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.103893 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103898 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.103903 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103908 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.103913 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103920 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103925 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.103930 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.103935 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103940 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.103945 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103950 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103956 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.103961 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103966 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.103971 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103976 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.103982 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.103986 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.103991 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.103996 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104001 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104006 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104014 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104019 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104023 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104028 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104033 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104038 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104043 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104048 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104052 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.104057 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104062 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104067 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104072 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104077 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104082 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104086 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104092 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104097 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104102 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104106 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104111 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104116 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104121 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104125 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104130 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104135 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104141 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104146 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104151 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104155 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104160 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104165 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104170 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.104175 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104179 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104184 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104190 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104195 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104200 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104205 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104211 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104215 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104220 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104225 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104230 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104234 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104239 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104244 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104248 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104253 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104258 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104263 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104267 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104274 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104279 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104284 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104289 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.104293 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104298 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104303 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104308 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104313 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104318 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104323 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104328 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104333 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104338 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104343 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104349 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104354 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104358 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104363 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104368 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104373 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104378 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104382 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104387 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104392 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104397 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104402 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104406 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.104411 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104416 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104421 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104426 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104432 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104438 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104443 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104448 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104453 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104458 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104463 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104468 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104476 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104481 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104487 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104492 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104497 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104501 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104506 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104511 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104516 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104520 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104525 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104530 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.104535 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104539 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104545 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104550 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104555 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104560 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104565 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104570 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104575 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104580 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104585 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104591 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104596 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104601 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104606 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104611 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104615 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104620 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104625 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104632 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104636 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104641 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104646 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104651 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.104656 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104660 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104665 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104670 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104675 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104680 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104685 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104690 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104694 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104700 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104705 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104710 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104714 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104719 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104724 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104728 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104733 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104738 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104742 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104747 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104752 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104757 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104761 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104767 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.104772 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104776 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104781 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104786 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104791 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104796 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104801 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104806 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104811 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104817 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104822 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104826 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104831 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104836 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104841 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104846 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.104851 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104855 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.104862 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104867 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.104872 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104876 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:45.104881 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.104886 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.104891 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.104896 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.104900 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104906 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.104910 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.104915 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104920 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.104925 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.104929 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.104934 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.104939 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.104944 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.104948 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104953 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.104958 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.104962 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.104967 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.104972 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.104976 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.104981 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.104986 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.104992 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.104997 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.105002 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.105007 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.105012 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.105017 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.105022 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } 08:56:45.105038 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.105099 520 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:45.105104 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.105138 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 5 08:56:45.105191 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:45.105215 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:45.105223 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 5 08:56:45.105230 520 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of STATSVTY. 08:56:45.105238 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:45.105288 520 StatsD_Checker.ttcnpp:321 Start timer T_statsd: 5 s 08:56:45.105532 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.105584 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:45.105624 520 StatsD_Checker.ttcnpp:325 Message with id 1 was extracted from the queue of STATS. 08:56:45.105869 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.105902 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:45.105915 520 StatsD_Checker.ttcnpp:325 Message with id 2 was extracted from the queue of STATS. 08:56:45.106037 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E332E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E332E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E322E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E322E6D732E646C5F6374726C5F6D73675F73636865643A307C63'O ("TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c") } id 3 08:56:45.106052 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c" 08:56:45.106089 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106095 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106099 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106104 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106109 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106113 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106119 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106125 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106131 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106135 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106142 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106146 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106151 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106155 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106159 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106164 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106168 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106173 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106178 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106182 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106189 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106194 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106198 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106203 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106207 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106212 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106216 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106220 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106225 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106229 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106233 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106241 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106246 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106250 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106255 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106260 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106264 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106268 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106273 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106277 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106282 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106286 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106292 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106296 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106339 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106343 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106347 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106352 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106357 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106361 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106365 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106370 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106376 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106381 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106385 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106390 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106394 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106399 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106403 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106408 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106412 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106416 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106421 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106425 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106429 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106434 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106439 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106444 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106448 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106453 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106457 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106461 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106466 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106471 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106475 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106479 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106485 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106490 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106494 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106499 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106503 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106507 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106512 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106517 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106521 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106526 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106530 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106534 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106539 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106543 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106549 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106553 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106558 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106562 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106567 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106571 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106575 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106579 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106584 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106588 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106594 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106599 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106603 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106608 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106612 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106617 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106621 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106626 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106631 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106635 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106639 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106643 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106648 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106652 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106659 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106664 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106668 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106672 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106677 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106681 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106686 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106690 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106695 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106699 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106704 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106708 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106713 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106719 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106724 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106728 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106733 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106738 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106743 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106747 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106751 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106756 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106760 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106764 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106768 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106773 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106779 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106783 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106788 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106792 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106797 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106801 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106806 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106810 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106815 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106819 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106824 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106828 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106833 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106837 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106843 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106850 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106856 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106861 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106865 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106870 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106874 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106878 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106883 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106887 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106892 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.106896 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106902 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.106907 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106911 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106916 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.106920 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.106924 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106929 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.106933 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106938 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106943 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.106947 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106952 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106957 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106962 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.106967 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.106971 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.106976 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106982 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.106986 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.106990 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.106995 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.106999 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107004 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107009 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107013 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107017 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107022 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107026 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107031 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.107035 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107041 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107046 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107050 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107055 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107060 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107064 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107069 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107073 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107078 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107082 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107087 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107091 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107095 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107100 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107105 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107109 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107115 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107119 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107123 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107128 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107132 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107137 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107141 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.107145 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107150 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107154 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107158 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107163 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107167 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107171 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107176 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107182 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107187 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107191 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107195 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107200 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107204 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107208 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107213 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107217 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107221 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107226 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107230 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107234 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107239 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107243 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107248 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.107252 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107259 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107263 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107268 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107272 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107277 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107281 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107285 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107290 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107294 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107299 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107303 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107308 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107312 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107316 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107321 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107325 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107331 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107335 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107340 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107345 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107349 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107353 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107358 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.107362 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107367 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107371 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107376 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107380 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107385 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107389 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107394 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107398 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107403 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107407 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107411 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107421 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107425 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107429 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107435 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107440 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107444 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107449 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107453 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107459 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107464 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107468 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107472 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.107477 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107481 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107486 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107490 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107497 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107502 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107506 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107511 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107515 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107520 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107525 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107529 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107533 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107537 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107542 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107546 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107550 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107555 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107559 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107563 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107568 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107572 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107578 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107582 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.107587 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107592 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107597 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107601 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107606 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107610 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107615 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107620 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107624 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107629 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107634 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107638 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107643 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107647 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107652 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107656 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107661 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107665 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107671 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107675 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107680 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107684 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107689 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107693 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.107698 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107702 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107706 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107711 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107715 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107720 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107725 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107729 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107733 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107738 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107742 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107747 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107751 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107756 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107762 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107771 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107778 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107785 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107790 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107794 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107799 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107803 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107807 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107812 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.107816 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107821 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107825 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107830 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107834 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107838 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107843 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107847 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107851 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107856 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107860 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107865 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107869 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107875 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107879 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107884 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107889 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107893 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.107897 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107902 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.107906 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107910 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107915 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.107919 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.107923 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107927 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.107932 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107936 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107940 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.107945 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107949 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107953 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107958 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.107962 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.107967 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.107971 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107976 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.107981 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.107985 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107989 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.107994 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.107998 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108002 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108006 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108011 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108015 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108019 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108024 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108028 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108032 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108037 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108041 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108045 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108050 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108055 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108059 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108063 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108068 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108073 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108077 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108081 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108085 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108089 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108096 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108100 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108105 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108109 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108113 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108118 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108122 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108126 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108131 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108135 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108139 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108144 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108149 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108153 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108158 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108162 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108167 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108171 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108176 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108180 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108184 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108189 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108193 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108197 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108201 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108206 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108212 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108216 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108221 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108226 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108230 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108234 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108239 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108243 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108247 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108251 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108256 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108260 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108265 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108269 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108274 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108278 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108282 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108286 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108291 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108295 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108299 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108304 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108309 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108313 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108318 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108322 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108326 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108331 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108335 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108340 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108344 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108349 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108355 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108360 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108364 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108368 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108373 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108378 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108383 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108388 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108393 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108398 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108402 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108406 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108411 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108415 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108419 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108423 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108428 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108432 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108436 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108440 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108444 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108449 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108453 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108457 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108462 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108466 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108470 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108475 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108479 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108483 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108488 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108492 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108497 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108501 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108506 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108510 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108514 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108518 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108525 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108530 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108534 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108539 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108543 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108548 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108552 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108557 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108561 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108565 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108570 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108575 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108579 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108584 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108589 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108593 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108597 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108602 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108606 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108610 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108615 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108619 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108623 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108628 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108632 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108636 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108640 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108645 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108649 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108655 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108660 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108664 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108669 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.108673 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108677 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108682 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108686 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108691 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108695 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108700 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108704 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108708 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108713 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108717 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108721 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108728 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108732 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108736 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108740 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.108745 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108749 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.108753 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108757 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108762 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108766 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:45.108771 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.108775 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.108780 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.108784 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.108788 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108792 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.108796 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.108801 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108805 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.108810 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.108814 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.108818 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.108822 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.108827 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.108831 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108835 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.108839 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.108843 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.108848 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.108852 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.108857 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.108861 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.108866 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.108870 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.108875 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.108880 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.108884 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.108888 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.108893 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.108897 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } 08:56:45.108964 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:45.108978 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.109008 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:45.109020 520 StatsD_Checker.ttcnpp:325 Message with id 3 was extracted from the queue of STATS. 08:56:45.109108 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E312E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E312E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c") } id 4 08:56:45.109123 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c" 08:56:45.109154 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109159 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109163 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.109167 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109174 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109179 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109183 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109188 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109193 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109197 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109202 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109207 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109212 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109216 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109220 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109225 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109229 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109233 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109240 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109245 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109249 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109253 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109258 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109262 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109267 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109271 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109276 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.109280 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109286 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109291 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109295 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109300 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109304 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109309 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109313 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109318 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109322 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109327 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109331 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109337 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109341 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109346 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109350 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109354 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109359 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109363 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109368 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109372 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109376 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109382 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109386 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.109391 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109395 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109399 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109404 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109408 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109413 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109417 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109422 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109426 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109431 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109435 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109439 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109445 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109450 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109454 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109459 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109463 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109467 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109472 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109476 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109481 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109485 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109491 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109496 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.109500 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109504 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109509 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109513 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109517 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109522 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109526 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109531 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109535 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109540 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109544 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109549 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109555 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109559 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109564 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109568 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109573 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109578 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109582 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109586 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109591 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109595 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109602 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109607 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.109611 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109615 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109620 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109624 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109629 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109634 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109638 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109642 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109647 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109652 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109656 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109660 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109666 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109671 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109675 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109680 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109684 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109688 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109693 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109697 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109702 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109706 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109712 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109717 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.109721 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109726 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109730 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109735 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109738 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.109740 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109744 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109748 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109753 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109759 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109763 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109768 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109772 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109776 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109781 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109784 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.109785 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109792 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109797 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109801 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109805 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109810 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109814 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109818 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109823 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109827 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.109831 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109837 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109842 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109846 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109851 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109855 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109860 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109864 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109869 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109874 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109878 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109882 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109886 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109891 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109895 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109899 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.109903 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109909 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.109913 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109918 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.109922 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109926 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109931 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.109935 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.109939 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109944 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.109948 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109953 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109957 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.109964 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109968 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.109973 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109977 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.109982 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.109986 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.109990 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.109995 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.109999 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110003 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110008 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110012 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110017 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110021 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110027 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110031 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110036 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110040 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110045 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.110049 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110054 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110058 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110062 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110067 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110071 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110076 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110080 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110085 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110089 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110094 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110098 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110104 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110109 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110113 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110117 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110122 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110126 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110130 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110135 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110139 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110143 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110148 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110152 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.110156 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110162 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110167 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110172 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110176 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110181 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110185 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110190 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110194 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110199 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110203 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110207 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110212 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110216 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110220 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110225 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110229 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110233 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110238 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110245 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110250 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110254 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110258 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110262 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.110267 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110271 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110276 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110280 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110285 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110289 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110293 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110305 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110312 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110317 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110321 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110325 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110331 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110336 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110340 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110345 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110349 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110353 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110358 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110362 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110366 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110371 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110375 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110380 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.110384 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110389 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110393 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110398 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110404 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110409 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110413 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110418 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110422 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110427 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110431 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110436 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110440 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110445 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110449 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110454 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110458 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110463 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110467 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110472 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110476 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110481 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110487 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110491 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.110495 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110500 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110505 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110510 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110514 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110519 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110523 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110528 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110532 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110537 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110541 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110545 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110550 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110555 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110559 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110563 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110567 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110574 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110578 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110582 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110587 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110591 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110596 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110600 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.110604 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110609 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110613 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110618 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110622 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110627 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110632 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110637 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110641 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110646 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110651 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110655 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110661 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110666 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110670 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110675 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110679 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110684 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110688 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110693 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110697 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110702 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110706 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110710 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.110715 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110719 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110723 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110728 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110732 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110737 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110741 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110746 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110751 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110756 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110760 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110764 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110769 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110773 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110777 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110782 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110786 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110790 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110795 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110799 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110804 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110808 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110812 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110816 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.110821 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110825 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110829 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110834 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110838 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110845 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110850 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110854 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110859 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110863 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110868 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110872 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110878 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110883 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110887 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110891 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.110896 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110900 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.110904 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110909 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.110913 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110917 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110921 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.110926 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.110930 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110934 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.110938 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110943 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110949 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.110953 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110957 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110962 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110966 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.110971 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.110975 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.110979 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110983 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.110988 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.110992 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.110996 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111000 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111004 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111008 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111013 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111017 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111021 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111025 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111030 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.111034 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111038 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111042 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111046 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111052 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111057 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111061 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111066 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111070 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111074 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111078 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111082 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111087 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111091 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111095 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111099 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111104 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111108 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111112 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111116 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111121 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111125 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111129 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111133 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.111138 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111142 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111146 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111150 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111155 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111159 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111164 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111168 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111174 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111178 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111182 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111186 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111190 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111195 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111199 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111203 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111207 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111212 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111216 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111220 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111224 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111228 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111233 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111237 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.111241 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111245 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111249 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111254 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111259 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111263 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111267 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111271 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111276 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111280 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111284 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111288 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111292 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111296 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111303 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111307 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111311 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111316 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111320 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111324 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111329 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111333 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111337 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111342 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.111346 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111350 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111354 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111359 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111363 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111368 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111372 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111377 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111381 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111386 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111390 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111394 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111398 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111403 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111407 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111411 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111415 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111420 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111424 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111428 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111433 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111437 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111444 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111448 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.111452 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111458 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111463 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111467 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111472 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111476 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111481 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111485 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111489 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111494 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111498 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111502 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111507 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111511 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111515 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111519 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111524 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111528 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111532 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111537 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111541 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111545 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111550 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111554 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.111558 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111563 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111567 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111572 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111576 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111580 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111585 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111589 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111593 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111598 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111602 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111606 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111610 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111614 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111619 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111623 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111630 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111634 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111638 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111642 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111647 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111651 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111655 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111659 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.111663 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111667 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111672 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111676 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111680 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111684 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111689 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111693 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111698 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111702 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111706 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111711 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111715 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111719 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111723 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111727 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.111731 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111736 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.111740 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111744 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111748 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111752 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:45.111756 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.111761 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.111765 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.111769 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.111773 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111778 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.111782 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.111786 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111791 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.111795 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.111799 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.111804 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.111808 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.111812 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.111816 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111820 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.111824 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.111829 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.111833 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.111837 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.111841 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.111845 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.111849 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.111854 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.111858 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.111864 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.111868 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.111872 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.111876 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.111880 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:45.111941 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:45.111955 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.111984 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:45.111994 520 StatsD_Checker.ttcnpp:325 Message with id 4 was extracted from the queue of STATS. 08:56:45.112076 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E302E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E302E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E7061636B6574732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E62797465732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E62797465732E6F75743A31327C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E756E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E646561643A307C63'O ("TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c") } id 5 08:56:45.112089 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c" 08:56:45.112117 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112124 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112128 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.112132 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112137 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112141 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112146 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112151 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112155 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112160 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112165 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112169 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112175 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112179 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112183 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112190 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112194 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112199 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112203 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112207 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112212 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112216 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112221 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112225 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112230 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112236 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112240 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.112244 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112249 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112253 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112258 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112262 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112267 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112271 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112276 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112280 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112286 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112290 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112295 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112299 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112304 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112308 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112312 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112316 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112321 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112325 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112330 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112334 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112340 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112344 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112349 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.112353 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112357 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112362 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112367 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112371 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112376 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112380 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112384 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112389 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112393 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112399 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112403 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112408 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112412 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112417 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112421 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112425 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112430 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112434 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112438 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112443 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112447 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112453 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112458 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.112462 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112467 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112471 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112475 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112480 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112485 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112489 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112494 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112498 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112503 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112507 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112512 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112517 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112522 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112526 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112531 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112535 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112540 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112544 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112548 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112553 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112557 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112563 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112567 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.112572 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112576 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112580 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112585 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112589 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112594 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112598 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112603 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112607 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112612 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112616 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112620 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112624 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112629 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112640 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112645 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112649 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112653 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112658 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112662 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112666 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112671 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112675 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112680 520 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:45.112684 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112691 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112696 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112700 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112705 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112709 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112714 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112719 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112723 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112728 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112732 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112737 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112741 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112745 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112750 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112754 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112758 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112765 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112769 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112774 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112778 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112782 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112787 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112791 520 StatsD_CodecPort.ttcn:36 match_first result: 77 08:56:45.112795 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112800 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112804 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112809 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112813 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112818 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112822 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112831 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112840 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112845 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112850 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112854 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112859 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112863 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112868 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112872 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.112877 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112894 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.112899 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112904 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.112908 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112913 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112918 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.112922 520 StatsD_CodecPort.ttcn:36 match_first result: 82 08:56:45.112927 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112933 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.112938 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112942 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112947 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.112951 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112956 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112961 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112966 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.112970 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.112975 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.112979 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112983 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.112988 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.112992 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.112997 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.113001 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113005 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.113010 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113016 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113022 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113027 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113033 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113038 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:45.113042 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113047 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113051 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113056 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113061 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113066 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113071 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113075 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113080 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113086 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113090 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113094 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113099 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113103 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113108 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113114 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.113119 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113123 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.113128 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113132 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113136 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113141 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113145 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113150 520 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:45.113154 520 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113159 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113163 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113167 520 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113172 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113176 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113181 520 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:45.113186 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113190 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113195 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113200 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113204 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113208 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113212 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113218 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113223 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.113227 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113231 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.113236 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113240 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113244 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113249 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113253 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113257 520 StatsD_CodecPort.ttcn:36 match_first result: 80 08:56:45.113262 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113266 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113270 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113275 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113279 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113283 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113287 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113292 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113296 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113301 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113305 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113309 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113313 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113317 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113322 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113326 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.113332 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113336 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.113340 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113345 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113350 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113354 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113358 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113362 520 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:45.113366 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113371 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113375 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113379 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113383 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113388 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113392 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113397 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113401 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113406 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113415 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113419 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113424 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113428 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113432 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.113436 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113441 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.113445 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113449 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113454 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113458 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113462 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113467 520 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:45.113471 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113477 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113482 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113487 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113491 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113496 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113500 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113505 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113510 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113514 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113518 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113523 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113527 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113531 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113536 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113540 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.113544 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113549 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.113553 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113557 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113562 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113566 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead:0|c 08:56:45.113570 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113574 520 StatsD_CodecPort.ttcn:36 match_first result: 70 08:56:45.113580 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.113585 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113590 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113594 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.113598 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113604 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113608 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113612 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.113616 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113621 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113625 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113629 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.113634 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113638 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.113642 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.113646 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.113650 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.113654 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113659 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.113663 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.113667 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.113671 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.113675 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.113680 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.113684 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.113688 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.113692 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.113697 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead", val := 0, mtype := "c", srate := omit } } 08:56:45.113751 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead", val := 0, mtype := "c", srate := omit } } } id 5 08:56:45.113763 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.113791 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.dead", val := 0, mtype := "c", srate := omit } } } id 5 08:56:45.113802 520 StatsD_Checker.ttcnpp:325 Message with id 5 was extracted from the queue of STATS. 08:56:45.113871 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E7265706C616365643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E6E7365692D6368673A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E696E762D6E737663693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E696E762D6E7365693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E6C6F73742E72657365743A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E696E3A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E62797465732E696E3A307C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75743A31327C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E756E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E646561643A307C630A5454434E332E6E732E6E73652E313233342E7265706C616365643A307C630A5454434E332E6E732E6E73652E313233342E6E7365692D6368673A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E737663693A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E7365693A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E72657365743A307C63'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.replaced:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c") } id 6 08:56:45.113886 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.replaced:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c" 08:56:45.113914 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.replaced:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.113919 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.113923 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:45.113928 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.113932 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.113936 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113941 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.113947 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.113952 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113956 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113962 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.113967 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.113972 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.113976 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.113980 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.113985 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.113989 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.113993 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.113998 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114002 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114009 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114014 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114019 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114023 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114028 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114032 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114037 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:45.114041 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114045 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114050 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114054 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114061 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114065 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114069 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114074 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114079 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114083 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114087 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114092 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114096 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114100 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114105 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114110 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114114 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114121 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114125 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114130 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114134 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114138 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114143 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114147 520 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:45.114151 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114156 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114160 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114165 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114171 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114175 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114180 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114184 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114189 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114193 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114197 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114202 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114206 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114210 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114215 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114219 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114224 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114229 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114234 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114238 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114243 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114247 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114251 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114256 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:45.114260 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114264 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114269 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114273 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114278 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114282 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114287 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114291 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114303 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114310 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114314 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114318 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114323 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114327 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114332 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114336 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114340 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114345 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114349 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114354 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114358 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114362 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114368 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114372 520 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:45.114377 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114381 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114386 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114390 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114394 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114399 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114403 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114408 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114412 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114414 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.114417 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114421 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114426 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114430 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114435 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114439 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114446 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114450 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114453 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } 08:56:45.114455 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114459 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114464 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114469 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114473 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114478 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114482 520 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:45.114487 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114489 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.114492 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114498 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114504 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114508 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114512 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114517 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114520 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:45.114522 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114528 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114533 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114538 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114542 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114547 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114551 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114555 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114560 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114564 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114568 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114573 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114577 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114581 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114586 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114590 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114594 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.114598 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:45.114599 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114605 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114609 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114614 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114615 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:45.114619 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114623 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114628 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:45.114628 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114632 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114638 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114639 519 PCUIF_Components.ttcn:460 Message with id 6 was extracted from the queue of CLCK. 08:56:45.114642 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114647 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114651 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114656 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114660 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114664 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114668 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114673 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114677 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114680 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.114681 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114688 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114693 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114697 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114702 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114706 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.114710 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114714 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114719 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114723 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114728 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114732 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114737 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114742 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114746 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114749 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 16 08:56:45.114751 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114755 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114759 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114764 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114769 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114773 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114777 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114782 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114788 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114792 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114795 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.114797 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114801 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114805 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114810 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114814 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:45.114815 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 16 08:56:45.114818 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114824 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114828 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114832 518 PCUIF_Components.ttcn:678 Message with id 16 was extracted from the queue of BTS. 08:56:45.114832 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114837 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114841 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114846 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114850 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114854 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114859 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114863 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114867 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114870 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:45.114871 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114878 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114882 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114887 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114891 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114895 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:45.114896 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.114900 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114904 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.114908 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114913 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114917 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.114921 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.114925 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114930 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.114930 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.114934 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114938 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114943 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.114947 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114951 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114956 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114960 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.114964 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.114968 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.114972 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114978 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.114983 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.114987 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.114992 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.114996 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115000 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115005 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115009 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115013 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115018 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115022 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115027 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.115031 520 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115035 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115039 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115044 520 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115048 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115052 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115053 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.115056 520 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:45.115062 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115066 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115071 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115077 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115081 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115086 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115090 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115094 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115098 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115103 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115107 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115111 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115115 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115120 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115124 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115129 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115133 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.115138 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115142 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115146 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115151 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115155 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115160 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115165 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115169 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115174 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115178 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115183 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115187 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115193 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115197 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115201 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115206 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115210 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115214 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115219 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115223 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115227 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115232 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115236 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115240 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.115245 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115250 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115254 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115259 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115263 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115267 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115272 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115276 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115281 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115285 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115289 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115294 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115298 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115303 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115307 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115313 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115317 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115322 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115326 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115330 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115336 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115341 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115345 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115350 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.115354 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115358 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115362 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115367 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115371 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115376 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115380 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115385 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115389 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115393 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115398 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115402 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115406 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115411 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115415 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115419 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115423 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115428 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115432 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115439 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115443 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115447 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115451 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115456 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:45.115460 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115464 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115468 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115473 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115477 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115481 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115486 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115490 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115494 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115499 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115503 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115507 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115512 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115516 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115520 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115524 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115528 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115533 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115537 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115541 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115545 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115550 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115554 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115558 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.115562 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115568 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115572 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115576 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115580 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115585 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115589 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115594 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115598 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115602 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115606 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115610 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115614 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115619 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115623 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115627 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115631 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115635 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115640 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115644 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115648 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115653 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115657 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115661 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.115665 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115669 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115673 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115678 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115682 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115686 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115690 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115695 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115699 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115704 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115708 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115712 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115716 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115720 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115724 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115730 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115734 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115738 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115743 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115747 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115751 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115755 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115759 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115763 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.115768 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115772 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115776 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115780 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115785 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115789 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115793 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115798 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115802 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115806 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115811 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115815 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115819 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115823 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115827 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115832 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115836 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115840 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115844 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115848 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115852 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115857 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115861 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115865 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.115869 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115873 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115878 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115882 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115886 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115891 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115895 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115899 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115905 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.115909 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115913 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115917 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115921 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.115926 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.115930 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115934 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.115938 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115942 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.115947 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115951 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.115955 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115960 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115964 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.115968 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.115973 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115977 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.115981 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.115986 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.115990 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.115995 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.115999 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116003 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.116008 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116012 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116016 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116020 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.116024 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116029 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116033 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.116037 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.116041 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.116045 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.116050 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.116054 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116058 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116062 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:45.116067 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116071 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.116075 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.116079 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.116083 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116087 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.116091 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.116096 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116100 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116105 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.116109 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116113 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116118 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116122 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.116126 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116130 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.116136 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.116140 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.116145 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.116149 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116153 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.116157 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.116161 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.116165 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.116169 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.116174 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.116178 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.116182 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116187 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.116191 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } 08:56:45.116250 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } } id 6 08:56:45.116262 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.116290 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } } id 6 08:56:45.116299 520 StatsD_Checker.ttcnpp:325 Message with id 6 was extracted from the queue of STATS. 08:56:45.116376 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7063752E7367736E2E302E72785F706167696E675F63733A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F70733A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E626C6F636B65643A307C630A5454434E332E62737367702E6273735F6374782E313233342E6469736361726465643A307C630A5454434E332E62737367702E6273735F6374782E313233342E7374617475733A307C630A5454434E332E6274732E302E706463682E616C6C5F616C6C6F63617465643A307C630A5454434E332E6274732E302E7462662E646C2E616C6C6F633A307C630A5454434E332E6274732E302E7462662E646C2E66726565643A307C630A5454434E332E6274732E302E7462662E646C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E756C2E616C6C6F633A377C630A5454434E332E6274732E302E7462662E756C2E66726565643A307C630A5454434E332E6274732E302E7462662E756C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E7265757365643A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D613A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D623A377C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65643A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7466693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7573663A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F636F6D62693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F617661696C3A307C630A5454434E332E6274732E302E726C632E73656E743A307C630A5454434E332E6274732E302E726C632E726573656E743A307C630A5454434E332E6274732E302E726C632E7265737461727465643A307C630A5454434E332E6274732E302E726C632E7374616C6C65643A307C630A5454434E332E6274732E302E726C632E6E61636B65643A307C63'O ("TTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c") } id 7 08:56:45.116389 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c" 08:56:45.116418 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116426 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116431 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.116435 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116440 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.116444 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116448 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116453 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.116458 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116462 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116467 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116473 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116478 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116482 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116486 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116491 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116495 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116499 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116504 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.116508 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116513 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.116517 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116523 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116528 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116532 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116536 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116541 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.116545 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116550 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.116554 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116558 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116563 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.116568 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116573 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116578 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116583 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116588 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116592 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116596 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116601 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116605 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116609 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116614 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.116618 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116624 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.116629 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116633 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116638 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116642 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116647 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116651 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.116655 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116660 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.116664 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116669 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116674 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.116679 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116683 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116688 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116692 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116697 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116701 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116705 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116710 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116714 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116718 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116723 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.116727 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116733 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.116737 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116742 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116746 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116751 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116755 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116759 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:45.116764 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116768 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.116773 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116777 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116784 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.116789 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116793 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116798 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116802 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116807 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116811 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116816 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116823 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116829 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116835 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116842 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.116847 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116851 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.116856 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116860 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116865 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116869 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116873 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116878 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:45.116882 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116886 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.116891 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116897 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116902 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.116906 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116911 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116915 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116920 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.116924 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.116928 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116933 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116938 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.116942 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.116946 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116951 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.116955 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116961 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.116966 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116970 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.116975 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.116979 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116983 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.116988 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:45.116992 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.116997 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117001 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117006 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117012 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117017 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117021 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117026 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117031 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117035 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117039 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117044 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117048 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117052 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117057 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117061 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117065 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117071 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117076 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117080 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117084 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117089 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117093 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117098 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.117102 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117106 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117111 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117115 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117120 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117125 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117130 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117135 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117139 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117144 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117148 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117153 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117157 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117161 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117165 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117170 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117174 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117179 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117183 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117348 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117356 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117361 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117366 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117370 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:45.117375 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117380 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117384 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117388 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117393 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117398 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117402 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117407 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117411 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117418 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117423 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117427 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117431 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117436 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117440 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117444 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117449 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117453 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117458 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117463 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117467 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117471 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117478 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117482 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.117486 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117491 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117496 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117500 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117504 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117509 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117513 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117518 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117523 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117527 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117531 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117536 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117540 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117545 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117549 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117555 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117559 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117564 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117568 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117572 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117576 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117581 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117585 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117589 520 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:45.117594 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117598 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117602 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117607 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117613 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117617 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117621 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117627 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117631 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117636 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117640 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117644 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117648 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117653 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117657 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117661 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117666 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117670 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117674 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117681 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117685 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117689 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117693 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117698 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.117702 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117706 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117711 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117715 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117719 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117724 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117728 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117733 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117737 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117742 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117746 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117750 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117757 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117761 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117766 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117770 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117774 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117779 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117783 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117788 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117792 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117796 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117800 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117805 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.117809 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117813 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117818 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117824 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117828 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117833 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117837 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117843 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117847 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117852 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117856 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117860 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117865 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117869 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117873 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117878 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117882 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117887 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.117891 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117901 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.117906 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117910 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117915 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.117919 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.117924 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117928 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.117933 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117937 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117942 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.117946 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117951 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117956 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117960 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.117965 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.117970 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.117974 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117980 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.117984 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.117988 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.117993 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.117997 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118002 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118006 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118010 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118015 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118019 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118024 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118028 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.118033 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118037 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118041 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118046 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118059 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118064 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118068 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118073 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118077 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118082 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118086 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118091 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118095 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118100 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118104 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118109 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118113 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118118 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118122 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118127 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118131 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118136 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118142 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118147 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.118151 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118156 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118160 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118165 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118169 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118174 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118178 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118182 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118188 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118192 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118197 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118201 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118205 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118210 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118214 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118218 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118223 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118228 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118233 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118237 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118242 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118246 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118250 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118255 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.118259 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118263 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118268 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118273 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118277 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118282 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118286 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118291 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118295 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118308 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118312 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118317 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118321 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118325 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118329 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118336 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118340 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118344 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118349 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118353 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118358 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118362 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118367 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118371 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:45.118376 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118380 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118385 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118390 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118394 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118399 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118404 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118409 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118413 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118420 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118424 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118428 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118433 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118437 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118441 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118446 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118450 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118455 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118459 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118463 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118468 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118472 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118477 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118481 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.118485 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118491 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118496 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118500 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118505 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118511 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118516 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118520 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118524 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118529 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118533 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118538 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118542 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118546 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118550 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118555 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118559 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118563 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118567 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118572 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118576 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118580 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118584 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118589 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.118593 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118597 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118601 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118606 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118611 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118616 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118620 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118625 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118629 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118634 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118639 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118643 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118647 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118652 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118656 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118661 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118665 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118669 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118674 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118678 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118683 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118687 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118692 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118698 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.118702 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118708 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118713 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118717 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118721 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118726 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118731 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118735 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118740 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118744 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118749 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118753 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118757 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118761 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118765 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118770 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118775 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118779 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118784 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118788 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118793 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118797 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118802 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118806 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.118810 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118815 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118819 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118825 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118829 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118834 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118838 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118843 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118847 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118852 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118856 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118861 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118865 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118870 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118874 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118878 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118883 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118887 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118892 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118897 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.118902 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118906 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118910 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.118915 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.118919 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118923 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.118927 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118932 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118936 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.118941 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118946 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118951 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118955 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.118960 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.118964 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.118968 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118973 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.118977 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.118981 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118985 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.118990 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.118994 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.118999 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119003 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119007 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119012 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119016 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119020 520 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:45.119024 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119028 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119032 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119037 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119041 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119046 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119050 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119054 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119059 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119063 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119067 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119071 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119078 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119082 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119086 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119090 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.119095 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119099 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.119103 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119107 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119111 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119116 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119118 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.119120 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119124 520 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:45.119129 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119133 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119137 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119141 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119143 521 PCUIF_Components.ttcn:248 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } 08:56:45.119146 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119151 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119156 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119160 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119164 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119169 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119173 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119176 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.119178 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119182 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119187 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119191 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119194 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:45.119196 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.119200 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119205 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.119210 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119214 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119219 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119223 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119227 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119232 520 StatsD_CodecPort.ttcn:36 match_first result: 20 08:56:45.119238 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119242 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119243 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:45.119246 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119251 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119255 519 PCUIF_Components.ttcn:460 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_END (4) unmatched: First message in the queue does not match the template: 08:56:45.119256 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119261 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119265 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119270 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119274 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119279 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119283 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119287 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119292 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119296 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119300 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119304 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.119307 519 PCUIF_Components.ttcn:484 Matching on port CLCK succeeded: matched 08:56:45.119309 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119314 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.119319 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119320 519 PCUIF_Components.ttcn:484 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:45.119323 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119328 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119330 519 PCUIF_Components.ttcn:484 Message with id 7 was extracted from the queue of CLCK. 08:56:45.119332 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119337 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119341 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:45.119345 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119350 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119354 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119359 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119363 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119367 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119373 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119378 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119383 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119387 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119392 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119396 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119400 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119405 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119409 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119413 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.119417 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119424 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.119428 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119432 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119436 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119441 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119445 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119449 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.119453 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119457 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119462 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119466 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119470 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119475 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119479 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119484 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119488 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119492 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119496 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119501 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119505 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119509 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119513 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119517 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.119521 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119526 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.119530 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119534 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119538 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119542 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119546 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119551 520 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:45.119555 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119559 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119563 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119568 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119572 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119576 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119580 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119585 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119589 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119594 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119598 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119602 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119606 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119610 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119614 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119619 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.119623 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119628 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.119632 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119636 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119643 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119647 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.nacked:0|c 08:56:45.119652 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.119656 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:45.119660 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.119664 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.119668 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119673 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.119677 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.119681 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119686 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.119690 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.119694 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.119699 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.119703 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.119707 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.119711 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119715 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.119719 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.119724 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.119728 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.119732 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.119736 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.119741 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.119745 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.119749 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.119754 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.119758 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.119762 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.119766 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.119771 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.119775 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } 08:56:45.119842 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } } id 7 08:56:45.119855 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.119886 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } } id 7 08:56:45.119898 520 StatsD_Checker.ttcnpp:325 Message with id 7 was extracted from the queue of STATS. 08:56:45.119990 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E726C632E66696E616C5F626C6F636B5F726573656E743A307C630A5454434E332E6274732E302E726C632E6173732E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6173732E6661696C65643A307C630A5454434E332E6274732E302E726C632E61636B2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E61636B2E6661696C65643A307C630A5454434E332E6274732E302E726C632E72656C2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6C6174652D626C6F636B3A307C630A5454434E332E6274732E302E726C632E73656E742D64756D6D793A307C630A5454434E332E6274732E302E726C632E73656E742D636F6E74726F6C3A307C630A5454434E332E6274732E302E726C632E646C5F62797465733A307C630A5454434E332E6274732E302E726C632E646C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E6465636F64652E6572726F72733A307C630A5454434E332E6274732E302E7362612E616C6C6F63617465643A307C630A5454434E332E6274732E302E7362612E66726565643A307C630A5454434E332E6274732E302E7362612E74696D65646F75743A307C630A5454434E332E6274732E302E6C6C632E74696D656F75743A307C630A5454434E332E6274732E302E6C6C632E64726F707065643A307C630A5454434E332E6274732E302E6C6C632E7363686564756C65643A307C630A5454434E332E6274732E302E6C6C632E646C5F62797465733A307C630A5454434E332E6274732E302E6C6C632E756C5F62797465733A307C630A5454434E332E6274732E302E7063682E72657175657374733A307C630A5454434E332E6274732E302E7063682E72657175657374732E616C72656164793A307C630A5454434E332E6274732E302E7063682E72657175657374732E74696D656F75743A307C630A5454434E332E6274732E302E726163682E72657175657374733A387C630A5454434E332E6274732E302E726163682E72657175657374732E31316269743A387C630A5454434E332E6274732E302E726163682E72657175657374732E6F6E655F70686173653A387C630A5454434E332E6274732E302E726163682E72657175657374732E74776F5F70686173653A307C630A5454434E332E6274732E302E726163682E72657175657374732E756E65787065637465643A307C63'O ("TTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c\nTTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c") } id 8 08:56:45.120004 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c\nTTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c" 08:56:45.120034 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120038 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120043 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:45.120047 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120054 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120058 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120062 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120067 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120072 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120076 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120081 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120085 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120090 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120094 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120099 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120103 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120107 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120112 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120118 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120122 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120127 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120131 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120136 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120140 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120144 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120148 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120154 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.120158 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120163 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120167 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120171 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120176 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120180 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120185 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120189 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120194 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120198 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120205 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120209 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120215 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120219 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120223 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120228 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120232 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120236 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120241 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120246 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120250 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120254 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120261 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120265 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.120270 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120274 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120279 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120283 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120288 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120292 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120296 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120301 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120306 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120310 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120314 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120319 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120325 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120329 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120334 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120338 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120344 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120349 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120353 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120357 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120362 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120366 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120372 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120377 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.120381 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120385 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120390 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120394 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120398 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120403 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120407 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120412 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120416 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120423 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120428 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120432 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120436 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120441 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120445 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120449 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120454 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120458 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120462 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120467 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120471 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120477 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120481 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120485 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.120490 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120494 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120499 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120503 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120507 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120512 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120516 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120521 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120525 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120532 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120537 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120541 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120545 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120550 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120554 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120558 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120563 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120567 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120571 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120576 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120580 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120584 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120590 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120594 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.120599 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120603 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120607 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120612 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120616 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120621 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120625 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120629 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120634 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120638 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120643 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120647 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120653 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120657 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120661 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120666 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120670 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120675 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120679 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120684 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120688 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120692 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120699 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120703 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.120708 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120712 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120716 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120721 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120725 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120730 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120734 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120741 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120745 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120750 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120754 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120758 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120765 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120770 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120774 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120779 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120783 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120787 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120792 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120796 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120801 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120805 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120809 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120814 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.120818 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120824 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120829 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120833 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120838 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120842 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120846 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120851 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120855 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120860 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120864 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120869 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120873 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120878 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120882 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120889 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.120893 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120898 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.120903 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120907 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.120912 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120916 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120921 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.120925 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:45.120929 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120934 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.120938 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120944 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120950 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.120954 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120959 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120964 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120969 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.120973 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.120977 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.120982 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.120986 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.120991 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.120995 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121000 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121004 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121009 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121015 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121020 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121024 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121029 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121033 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121037 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.121042 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121046 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121051 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121055 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121060 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121064 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121069 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121073 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121080 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121085 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121089 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121093 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121098 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121102 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121107 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121111 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121116 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121120 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121125 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121129 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121134 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121138 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121145 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121149 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.121154 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121159 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121163 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121168 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121172 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121177 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121181 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121186 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121191 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121196 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121200 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121204 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121209 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121214 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121218 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121225 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121229 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121234 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121239 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121243 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121248 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121252 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121256 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121261 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.121265 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121270 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121274 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121279 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121285 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121290 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121294 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121299 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121304 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121309 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121313 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121318 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121322 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121326 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121331 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121335 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121340 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121344 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121349 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121353 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121357 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121362 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121367 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121372 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.121376 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121381 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121385 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121390 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121394 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121399 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121403 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121408 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121413 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121418 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121422 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121426 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121431 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121435 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121439 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121446 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121450 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121455 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121459 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121463 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121468 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121472 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121477 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121481 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.121485 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121490 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121494 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121499 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121503 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121508 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121512 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121516 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121523 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121527 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121532 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121536 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121540 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121544 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121549 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121553 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121557 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121562 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121566 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121571 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121575 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121579 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121584 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121591 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.121595 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121600 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121604 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121609 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121613 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121618 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121622 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121626 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121631 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121635 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121639 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121644 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121648 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121653 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121657 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121661 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121666 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121672 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121677 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121682 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121686 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121690 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121695 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121699 520 StatsD_CodecPort.ttcn:36 match_first result: 21 08:56:45.121704 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121708 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121712 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121718 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121722 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121727 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121731 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121735 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121740 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121744 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121748 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121753 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121758 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121763 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121767 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121771 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121776 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121780 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121784 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121789 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121793 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121797 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121802 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121807 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.121811 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121816 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121820 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121824 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121829 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121835 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121840 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121847 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121854 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121859 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121863 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121867 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121872 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121876 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121880 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121884 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.121889 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121893 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.121897 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121902 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.121906 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121911 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121915 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.121920 520 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:45.121924 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121928 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.121933 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121939 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121943 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.121948 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121954 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121960 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121965 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.121970 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.121974 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.121981 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121985 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.121990 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.121994 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.121998 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122003 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122007 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122012 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122016 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122021 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122026 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122032 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122037 520 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:45.122042 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122046 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122050 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122055 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122059 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122064 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122068 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122073 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122077 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122082 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122086 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122091 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122095 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122099 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122104 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122108 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122112 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122117 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122121 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122125 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122131 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122138 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122142 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122147 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.122151 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122156 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122160 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122165 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122169 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122174 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122178 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122183 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122187 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122193 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122197 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122202 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122207 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122212 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122217 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122222 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122227 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122231 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122236 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122241 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122246 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122250 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122255 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122259 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.122264 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122268 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122274 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122279 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122283 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122288 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122292 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122308 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122316 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122324 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122331 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122336 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122340 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122347 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122354 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122361 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122367 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122371 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122375 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122383 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122388 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122392 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122396 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122401 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.122406 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122410 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122414 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122419 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122423 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122428 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122432 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122437 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122442 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122447 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122451 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122457 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122461 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122465 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122470 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122474 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122479 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122483 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122488 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122494 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122499 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122504 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122509 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122514 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:45.122518 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122523 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122527 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122532 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122536 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122541 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122545 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122550 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122554 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122559 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122563 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122567 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122572 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122576 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122580 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122585 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122589 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122593 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122598 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122602 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122606 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122611 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122617 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122622 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.122626 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122631 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122635 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122640 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122644 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122649 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122653 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122658 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122662 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122667 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122671 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122676 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122680 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122684 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122689 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122693 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122697 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122701 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122707 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122711 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122716 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122720 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122724 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122728 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.122733 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122737 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122741 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122746 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122752 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122757 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122761 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122766 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122770 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122775 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122780 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122784 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122788 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122792 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122797 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122801 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122805 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122809 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122814 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122818 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122823 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122828 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122832 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122837 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.122841 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122845 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122850 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122854 520 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122858 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122863 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122867 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122872 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122876 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122881 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122885 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122889 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122894 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.122898 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122903 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122908 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.122912 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122917 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.122922 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122926 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.122930 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122934 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122938 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.122943 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.122947 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122951 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.122956 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122960 520 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122964 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.122969 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122973 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.122979 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.122984 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.122988 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.122993 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.122997 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123001 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.123005 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123009 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123013 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.123018 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123022 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.123026 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123030 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.123035 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123040 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123044 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.123048 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.123052 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123056 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.123061 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123066 520 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123070 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.123078 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123082 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123086 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123090 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.123096 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123100 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123104 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123108 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.123113 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123117 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123121 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.123125 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123129 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.123134 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123138 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.123143 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123148 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123152 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.123156 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.123161 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123165 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.123169 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123174 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123178 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.123182 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123187 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123192 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123196 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.123201 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123205 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123209 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123214 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.123219 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123223 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123228 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.123233 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123237 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.123241 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123246 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.123250 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123254 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:45.123260 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.123265 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:45.123270 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.123274 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.123279 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123283 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.123287 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.123292 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123296 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123304 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.123308 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.123314 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123318 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123322 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.123327 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.123331 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.123335 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.123339 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.123344 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.123348 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.123352 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.123356 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.123360 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.123365 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.123370 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.123375 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.123379 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.123384 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.123389 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.123393 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } 08:56:45.123457 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } } id 8 08:56:45.123474 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.123505 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } } id 8 08:56:45.123518 520 StatsD_Checker.ttcnpp:325 Message with id 8 was extracted from the queue of STATS. 08:56:45.123621 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 } 08:56:45.123687 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 } 08:56:45.123705 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 } 08:56:45.123718 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 } 08:56:45.123731 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 } 08:56:45.123795 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E7370622E75706C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F554C3A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E6F6E655F70686173653A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E74776F5F70686173653A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E636F6E74656E74696F6E5F7265736F6C7574696F6E5F737563636573733A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F72656A3A317C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F444C3A307C630A5454434E332E6274732E302E6368616E6E656C2E726571756573745F6465736372697074696F6E3A307C630A5454434E332E6274732E302E706B742E756C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E6163636573735F72656A6563743A307C630A5454434E332E6274732E302E706B742E646C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F6E6F74696669636174696F6E3A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F636F6E74696E75653A307C630A5454434E332E6274732E302E706B742E6E656967685F63656C6C5F646174613A307C630A5454434E332E6274732E302E756C2E636F6E74726F6C3A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F706F6C6C5F74696D656F75743A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F74696D656F75743A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F6661696C65643A307C63'O ("TTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c\nTTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c") } id 9 08:56:45.123808 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:45.123812 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c\nTTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c" 08:56:45.123843 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123850 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.123856 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:45.123862 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123864 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } 08:56:45.123874 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.123881 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123888 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123894 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:45.123895 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.123902 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123908 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123912 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:45.123916 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123923 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.123931 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.123939 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123946 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123954 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.123958 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.123963 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123965 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:45.123967 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.123973 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123977 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:45.123978 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.123982 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123987 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.123991 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.123996 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.123997 519 PCUIF_Components.ttcn:444 Message with id 8 was extracted from the queue of CLCK. 08:56:45.124002 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124007 520 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:45.124011 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124015 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124018 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:45.124020 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124025 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124030 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124034 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124038 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124043 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124048 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124052 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124056 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124063 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124067 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124068 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:45.124072 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124076 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124080 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124085 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124090 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124094 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124100 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124104 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124109 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124111 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:45.124113 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124117 520 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:45.124122 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124126 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124128 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:45.124131 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124135 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124140 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124144 518 PCUIF_Components.ttcn:678 Message with id 17 was extracted from the queue of BTS. 08:56:45.124145 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124149 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124154 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124160 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124165 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124169 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124173 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } }, id := 0 } 08:56:45.124173 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124178 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124182 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124187 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124190 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:45.124191 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124195 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124200 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124204 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124210 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124214 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124216 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:45.124218 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124223 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124227 520 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:45.124231 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124236 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124240 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124244 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124249 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124253 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124257 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124262 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124268 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124272 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124276 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124281 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124285 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124289 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124294 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124298 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124303 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124307 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124312 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124317 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124322 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124326 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124330 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124334 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.124339 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:45.124339 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124343 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124347 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124352 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124356 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124361 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124365 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124370 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124375 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124380 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124384 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124389 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124393 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124397 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124401 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124406 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124410 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124414 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124419 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124423 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124427 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124432 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124439 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124443 520 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:45.124447 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124452 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124456 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124461 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124465 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124470 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124474 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124478 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124483 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124487 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124491 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124496 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124501 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124506 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124510 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124514 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124519 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124523 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124528 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124532 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124537 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124541 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124545 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124550 520 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:45.124555 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124560 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124564 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124569 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124573 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124577 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124582 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124586 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124591 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124595 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124599 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124604 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124608 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124612 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124617 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124622 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124627 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124631 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124635 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124640 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124644 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124649 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124653 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124657 520 StatsD_CodecPort.ttcn:36 match_first result: 65 08:56:45.124662 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124666 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124671 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124675 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124681 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124685 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124689 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124694 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124698 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124703 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124707 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124711 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124716 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124720 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124724 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124729 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124733 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124738 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124742 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124748 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124753 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124757 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124761 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124766 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:45.124770 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124775 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124779 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124783 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124788 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124792 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124797 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124801 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124806 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124810 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124814 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124819 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124825 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124829 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124833 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124838 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124842 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124847 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124851 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124855 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124860 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124864 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124869 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124873 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.124878 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124882 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124887 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124892 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124897 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.124903 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124908 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124913 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124918 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.124922 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.124927 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124931 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124935 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.124940 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.124944 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124949 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.124953 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124957 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.124962 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124966 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.124971 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.124975 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124980 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.124985 520 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:45.124989 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.124994 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.124998 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125003 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125007 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125012 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125016 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125021 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125025 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125030 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125034 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125038 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125043 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125047 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125051 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125056 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125060 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125066 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125070 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125075 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125079 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125083 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125088 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125092 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.125096 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125101 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125106 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125110 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125114 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125119 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125123 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125128 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125132 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125137 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125141 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125145 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125151 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125156 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125160 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125164 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125168 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125173 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125177 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125181 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125186 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125190 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125194 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125199 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.125203 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125207 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125211 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125216 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125220 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125225 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125229 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125236 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125240 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125245 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125249 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125253 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125257 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125261 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125265 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125270 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125274 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125278 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125283 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125287 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125291 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125295 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125299 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125304 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.125308 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125312 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125316 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125322 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125326 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125331 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125335 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125340 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125344 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125348 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125352 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125356 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125361 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125365 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125369 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125373 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125377 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125382 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125386 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125391 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125395 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125399 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125404 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125408 520 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:45.125415 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125421 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125425 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125429 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125434 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125438 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125442 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125447 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125451 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125455 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125459 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125463 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125467 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125472 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125476 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125480 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125484 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125488 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125492 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125496 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125500 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125504 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125508 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125514 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:45.125518 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125522 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125526 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125530 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125534 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125539 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125543 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125547 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125551 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125556 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125560 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125564 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125568 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125572 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125576 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125582 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125586 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125590 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125595 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125599 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125603 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125607 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125611 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125615 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.125619 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125625 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125629 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125633 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125638 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125642 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125646 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125650 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125654 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125658 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125662 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125666 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125671 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125676 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125679 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125684 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125687 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125691 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125695 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125699 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125703 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125707 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125711 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125715 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:45.125719 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125723 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125727 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125731 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125737 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125741 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125745 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125749 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125753 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125757 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125761 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125765 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125769 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125773 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125777 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125781 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125785 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125789 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125793 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125797 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125801 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125805 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125809 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125813 520 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:45.125817 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125821 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125825 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125829 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125833 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125837 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125841 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125845 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125849 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125854 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125859 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125863 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125867 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125871 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125875 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125879 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125883 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125887 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125891 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125895 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125899 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125903 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125907 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.125911 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.125915 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125919 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.125923 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125927 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125931 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.125935 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125939 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125944 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125948 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.125952 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.125956 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.125960 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125964 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.125968 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.125972 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125976 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.125980 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125984 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.125988 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.125994 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.125998 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126002 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126005 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.126009 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:45.126014 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126020 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.126026 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126032 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126036 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.126040 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126044 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126048 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126052 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.126056 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126060 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126065 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126069 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.126073 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126077 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126081 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.126085 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126089 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.126093 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126097 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.126101 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126105 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126109 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.126113 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:45.126117 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126121 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.126125 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126129 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126133 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.126137 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126141 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126145 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126149 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.126154 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126158 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126162 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126167 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.126171 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126175 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126179 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.126183 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126187 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.126191 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126195 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.126199 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126203 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126207 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.126211 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.126216 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126220 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.126224 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126228 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126232 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.126237 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126241 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126245 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126249 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.126253 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126257 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126261 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126265 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.126269 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126273 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126277 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.126281 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126285 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.126290 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126294 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.126319 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126323 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:45.126327 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.126331 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:45.126335 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.126339 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.126344 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126348 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.126352 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.126356 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126360 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.126365 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.126369 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.126373 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.126377 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.126381 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.126385 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.126389 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.126393 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.126397 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.126402 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.126405 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.126414 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.126418 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.126422 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.126427 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.126431 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.126435 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.126439 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.126443 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.126447 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.126451 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } 08:56:45.126511 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:45.126525 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:45.126553 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:45.126563 520 StatsD_Checker.ttcnpp:325 Message with id 9 was extracted from the queue of STATS. 08:56:45.126576 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 } 08:56:45.126590 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 } 08:56:45.126604 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 } 08:56:45.126618 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 } 08:56:45.126632 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 } 08:56:45.126644 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } 08:56:45.126693 520 StatsD_Checker.ttcnpp:366 Stop timer T_statsd: 5 s 08:56:45.126855 520 StatsD_Checker.ttcnpp:151 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_expect : { } value true 08:56:45.126907 mtc StatsD_Checker.ttcnpp:420 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_expect : { } value true id 2 08:56:45.126958 mtc StatsD_Checker.ttcnpp:421 Matching on port STATSD_PROC succeeded: { } with { } matched value true with ? matched 08:56:45.126963 520 StatsD_Checker.ttcnpp:135 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F6661696C65643A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373393A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373393A307C63'O ("TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c\nTTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c") } id 10 08:56:45.126977 mtc StatsD_Checker.ttcnpp:421 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520): @StatsD_Checker.STATSD_expect : { } value true id 1 08:56:45.126979 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c\nTTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c" 08:56:45.126998 mtc StatsD_Checker.ttcnpp:421 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:45.127009 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127014 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127016 mtc GPRS_Components.ttcn:215 setverdict(pass): pass -> pass, component reason not changed 08:56:45.127018 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:45.127022 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127027 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127031 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127035 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127041 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127041 mtc GPRS_Components.ttcn:219 Sent on BTS to BTS(519) @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } 08:56:45.127046 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127050 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127055 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127060 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127064 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127068 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127072 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127076 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127080 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127084 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127089 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127093 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127098 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127101 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:45.127101 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127105 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127110 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127114 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127118 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127122 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:45.127126 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127131 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127135 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127139 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127144 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127148 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127152 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127156 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127160 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127164 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127168 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127172 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127174 519 PCUIF_Components.ttcn:335 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:45.127176 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127181 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127185 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127187 519 PCUIF_Components.ttcn:343 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:45.127190 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127194 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127195 519 PCUIF_Components.ttcn:347 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:45.127198 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127203 519 PCUIF_Components.ttcn:352 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:45.127203 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127207 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127211 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127215 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127219 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127223 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.127225 519 PCUIF_Components.ttcn:605 Matching on port TC succeeded: matched 08:56:45.127228 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127232 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127235 519 PCUIF_Components.ttcn:605 Receive operation on port TC succeeded, message from mtc: @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:45.127236 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127241 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127245 519 PCUIF_Components.ttcn:605 Message with id 9 was extracted from the queue of TC. 08:56:45.127245 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127250 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127253 519 PCUIF_Components.ttcn:606 Shutting down virtual BTS #0... 08:56:45.127254 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127258 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127263 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127267 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127271 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127275 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127281 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127282 519 PCUIF_Components.ttcn:607 Stopping PTC with component reference 521. 08:56:45.127285 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127289 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127293 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127297 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127301 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127305 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127309 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127312 521 PCUIF_Components.ttcn:256 Stop was requested from MC. 08:56:45.127313 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127317 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127323 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127327 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.127331 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127332 521 PCUIF_Components.ttcn:256 Stopping test component execution. 08:56:45.127335 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127340 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127344 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127348 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127352 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127357 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127363 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127369 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127375 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127382 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127386 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127392 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127396 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127400 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127404 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127408 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127412 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127416 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127420 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127424 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127428 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127433 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127437 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.127441 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127445 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127449 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127453 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127457 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127461 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127465 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127469 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127473 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127477 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127481 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127485 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127490 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127494 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127498 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127502 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127506 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127510 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127514 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127518 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127522 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127526 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127530 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127534 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.127537 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127544 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127548 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127552 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127556 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127560 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127564 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127569 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127573 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127577 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127581 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127585 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127589 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127593 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127597 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127602 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127606 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127610 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127614 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127618 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127622 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127626 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127630 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127634 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.127638 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127643 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127648 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127652 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127656 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127657 521 - Function f_ClckGen_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:45.127660 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127665 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127669 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127673 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127677 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127681 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127685 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127689 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127693 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127697 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127698 519 PCUIF_Components.ttcn:607 PTC with component reference 521 was stopped. 08:56:45.127701 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127705 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127712 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127716 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127720 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127724 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127728 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127732 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127736 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.127740 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127744 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127748 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127752 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127757 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127762 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127766 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127770 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127774 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127776 519 - Function f_BTS_CT_handler finished. PTC remains alive and is waiting for next start. 08:56:45.127778 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127783 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127787 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127791 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127795 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127799 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127803 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127807 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127811 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127814 mtc GPRS_Components.ttcn:220 PTC with component reference 519 is done. 08:56:45.127815 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127821 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127825 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127830 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127834 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127838 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.127842 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127844 mtc GPRS_Components.ttcn:223 Stopping all components. 08:56:45.127847 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127852 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127856 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127860 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127865 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127869 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127873 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127878 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127882 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127886 518 PCUIF_Components.ttcn:653 Stop was requested from MC. 08:56:45.127886 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127892 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127896 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.127900 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127905 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127909 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.127913 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127917 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.127921 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127923 518 PCUIF_Components.ttcn:653 Stopping test component execution. 08:56:45.127925 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.127930 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127934 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127938 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.127942 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.127946 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127951 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.127955 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127959 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127964 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.127968 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127972 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.127976 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127980 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.127984 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.127988 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.127992 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.127996 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128000 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128004 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128008 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128012 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128017 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128021 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128025 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128029 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128033 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128037 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128041 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.128045 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128049 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128053 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128057 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128061 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128065 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128069 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128073 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128078 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128083 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128087 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128090 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128094 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128098 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128102 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128106 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128110 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128114 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128118 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128122 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128126 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128130 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128136 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128140 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.128144 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128148 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128152 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128156 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128160 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128164 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128167 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128172 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128175 518 - Function f_PCUIF_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:45.128176 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128180 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128184 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128189 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128193 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128197 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128200 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128204 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128208 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128214 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128218 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128222 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128226 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128230 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128234 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128238 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.128242 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128246 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128250 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128254 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128258 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128262 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128266 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128270 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128276 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128280 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128284 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128287 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128291 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128295 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128299 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128303 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128307 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128311 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128315 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128319 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128323 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128326 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128331 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128335 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.128338 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128344 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128348 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128352 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128356 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128360 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128364 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128368 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128372 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128376 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128380 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128384 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128388 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128392 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128396 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128400 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128404 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128408 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128412 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128416 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128420 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128425 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128430 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128433 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.128438 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128442 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128446 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128450 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128454 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128458 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128462 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128466 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128470 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128474 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128478 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128482 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128486 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128490 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128494 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128498 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128501 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128507 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128511 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128515 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128519 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128523 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128527 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128531 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.128535 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128539 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128543 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128547 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128551 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128555 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128559 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128563 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128567 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128571 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128575 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128579 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128582 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128588 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128592 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128596 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128600 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128604 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128608 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128611 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128615 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128619 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128623 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128627 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.128631 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128635 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128639 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128643 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128646 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128651 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128654 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128658 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128662 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128667 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128671 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128676 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128680 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128684 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128688 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128692 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128695 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128699 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128703 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128707 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128711 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128715 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128719 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128723 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.128726 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128730 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128734 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128738 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128742 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128746 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128750 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128754 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128764 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128768 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128772 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128776 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128780 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128784 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128788 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128791 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128795 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128799 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128804 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128807 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128812 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128816 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128820 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128824 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:45.128828 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128831 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128836 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128840 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128844 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128848 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128852 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128857 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128863 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128868 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128872 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128876 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128880 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128884 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128888 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128892 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128895 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128899 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.128903 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128907 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.128911 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128915 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128919 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.128923 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.128927 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128931 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.128936 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128940 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128944 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.128948 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128952 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128956 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128961 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.128966 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.128970 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.128974 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128978 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.128982 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.128986 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128990 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.128994 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.128998 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129002 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129006 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129010 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129014 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129018 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129022 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129026 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129030 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129034 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129038 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129042 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129046 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129050 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129054 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129058 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129062 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129066 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129070 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129075 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129079 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129083 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129087 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129091 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129095 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129099 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129103 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129107 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129111 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129115 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129119 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129123 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129127 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129131 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129135 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129139 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129144 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129148 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129152 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129158 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129163 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129166 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129170 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129174 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129178 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129182 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129186 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129190 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129194 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129200 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129204 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129208 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129212 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129215 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129219 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129223 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129227 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129231 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129235 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129239 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129243 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129247 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129251 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129255 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129259 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129263 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129267 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129271 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129275 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129278 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129282 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129286 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129290 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129294 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129297 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129301 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129305 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129309 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129313 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129317 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129321 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129325 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129328 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129334 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129338 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129342 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129346 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129350 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129354 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129358 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129362 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129366 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129370 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129373 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129377 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129381 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129385 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129389 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129393 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129397 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129400 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129404 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129408 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129412 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129416 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129420 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129424 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129428 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129432 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129436 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129440 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129444 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129448 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129452 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129456 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129460 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129464 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129467 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129471 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129475 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129479 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129483 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129489 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129493 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129497 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129500 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129504 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129508 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129512 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129516 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129520 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129524 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129528 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129532 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129536 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129540 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129544 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129548 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129551 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129555 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129559 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129563 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129567 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129571 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129575 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129578 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129582 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129586 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129590 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129594 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129598 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129601 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129605 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129609 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129613 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129617 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129621 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129625 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129629 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129633 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129637 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129641 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129645 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129649 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129653 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129656 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129660 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129664 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129668 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129672 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129678 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129682 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129686 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:45.129689 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129693 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:45.129697 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:45.129701 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129705 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129709 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:45.129712 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129717 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129720 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129725 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:45.129728 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129732 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129736 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129740 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:45.129744 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129748 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.129751 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:45.129755 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.129759 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.129763 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129766 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.129770 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.129774 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.129778 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.129782 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.129786 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.129790 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.129793 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.129797 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.129801 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit } } 08:56:45.129862 520 StatsD_Checker.ttcnpp:135 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit } } } id 10 08:56:45.129889 520 StatsD_Checker.ttcnpp:135 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3139305F31302E32333030302E616C6976652E64656C61793A307C670A5454434E332E6E732E62696E642E302E74785F6261636B6C6F675F6C656E6774683A307C670A5454434E332E6274732E302E6D732E70726573656E743A387C670A5454434E332E6274732E302E706463682E617661696C61626C653A317C670A5454434E332E6274732E302E706463682E6F636375706965643A317C670A5454434E332E6274732E302E706463682E6F636375706965642E677072733A307C670A5454434E332E6274732E302E706463682E6F636375706965642E65677072733A317C67'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.alive.delay:0|g\nTTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g") } id 11 08:56:45.129898 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.alive.delay:0|g\nTTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g" 08:56:45.129909 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.alive.delay:0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129913 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.129917 520 StatsD_CodecPort.ttcn:36 match_first result: 77 08:56:45.129921 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129925 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.129929 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129933 520 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129937 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.129942 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129946 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129950 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129954 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.129958 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.129962 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.129966 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129970 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.129974 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.129977 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129981 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.129985 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129989 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.129993 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.129997 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130001 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130005 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130009 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.130013 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:45.130017 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130022 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.130026 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130030 520 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130034 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.130039 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130042 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130047 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130050 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.130055 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130059 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130062 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130066 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130070 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130074 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130078 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.130082 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130086 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.130090 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130094 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130098 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130102 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130105 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.130109 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:45.130113 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130117 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.130121 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130126 520 StatsD_CodecPort.ttcn:36 match_first data: 8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130130 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.130134 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130138 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130143 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130147 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.130151 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130155 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130159 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130165 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130169 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130173 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130177 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.130181 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130185 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.130189 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130193 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130197 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130201 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130204 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.130208 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:45.130212 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130216 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.130220 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130224 520 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130228 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.130232 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130236 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130240 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130244 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.130248 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130252 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130256 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130260 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130264 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130268 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130271 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.130275 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130279 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.130283 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130287 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130291 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130295 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130339 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.130343 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:45.130348 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130352 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.130356 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130360 520 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130363 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.130370 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130374 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130379 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130383 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.130387 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130391 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130395 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130399 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130403 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130407 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130410 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.130414 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130418 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.130422 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130426 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130430 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130434 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130437 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.130441 520 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:45.130445 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130449 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.130453 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130457 520 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130461 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.130465 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130469 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130473 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130477 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.130482 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130485 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130489 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130493 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130497 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130501 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130505 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:45.130509 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130513 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:45.130517 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130521 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130525 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130529 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:45.130533 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:45.130537 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:45.130540 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|g 08:56:45.130544 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:45.130548 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130552 520 StatsD_CodecPort.ttcn:36 match_first data: 1|g 08:56:45.130556 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:45.130560 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130564 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:45.130568 520 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:45.130572 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:45.130578 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:45.130582 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:45.130586 520 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:45.130589 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130593 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.130597 520 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:45.130601 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.130605 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:45.130609 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:45.130613 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:45.130617 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:45.130621 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:45.130625 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.130628 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:45.130633 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.130637 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:45.130640 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:45.130644 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:45.130648 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.alive.delay", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } 08:56:45.130672 520 StatsD_Checker.ttcnpp:135 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.190.101", remPort := 44704, locName := "172.18.190.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_190_10.23000.alive.delay", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } } id 11 08:56:45.130682 520 StatsD_Checker.ttcnpp:135 Kill was requested from MC. 08:56:45.130686 520 StatsD_Checker.ttcnpp:135 Terminating test component execution. 08:56:45.130849 520 - Function main was stopped. PTC terminates. 08:56:45.130865 520 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 08:56:45.130871 520 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 08:56:45.130918 520 - Port STATSVTY was unmapped from system:STATSVTY. 08:56:45.130928 520 - Port STATSVTY was stopped. 08:56:45.130933 520 - Removing unterminated connection between port STATSD_PROC and mtc:STATSD_PROC. 08:56:45.130946 520 - Port STATSD_PROC was stopped. 08:56:45.130949 520 - Removing unterminated mapping between port STATS and system:STATS. 08:56:45.130955 mtc GPRS_Components.ttcn:223 Connection of port STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC was closed unexpectedly by the peer. 08:56:45.130976 mtc GPRS_Components.ttcn:223 Port STATSD_PROC was disconnected from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC. 08:56:45.131076 520 - Port STATS was unmapped from system:STATS. 08:56:45.131086 520 - Message with id 10 was extracted from the queue of STATS. 08:56:45.131090 520 - Message with id 11 was extracted from the queue of STATS. 08:56:45.131094 520 - Port STATS was stopped. 08:56:45.131097 520 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:45.131104 520 - Final verdict of PTC: none 08:56:45.131118 mtc GPRS_Components.ttcn:223 All components were stopped. 08:56:45.131134 mtc GPRS_Components.ttcn:224 Stopping test component execution. 08:56:45.131135 520 - Disconnected from MC. 08:56:45.131145 520 - TTCN-3 Parallel Test Component finished. 08:56:45.131195 mtc PCU_Tests.ttcn:4943 Test case TC_egprs_pkt_chan_req_reject_exhaustion was stopped. 08:56:45.131207 mtc PCU_Tests.ttcn:4943 Terminating component type PCU_Tests.RAW_PCU_Test_CT. 08:56:45.131220 mtc PCU_Tests.ttcn:4943 Default with id 1 (altstep as_Tguard_RAW) was deactivated. 08:56:45.131232 mtc PCU_Tests.ttcn:4943 Stop timer g_T_guard: 60 s 08:56:45.131251 mtc PCU_Tests.ttcn:4943 Removing unterminated connection between port BTS and BTS(519):TC. 08:56:45.131284 519 - Connection of port TC to mtc:BTS was closed unexpectedly by the peer. 08:56:45.131437 mtc PCU_Tests.ttcn:4943 Port BTS was stopped. 08:56:45.131457 mtc PCU_Tests.ttcn:4943 Port PROC was stopped. 08:56:45.131470 mtc PCU_Tests.ttcn:4943 Port RIM was stopped. 08:56:45.131481 mtc PCU_Tests.ttcn:4943 Port IPA_CTRL was stopped. 08:56:45.131492 mtc PCU_Tests.ttcn:4943 Port BSSGP[0] was stopped. 08:56:45.131502 mtc PCU_Tests.ttcn:4943 Port BSSGP[1] was stopped. 08:56:45.131513 mtc PCU_Tests.ttcn:4943 Port BSSGP[2] was stopped. 08:56:45.131523 mtc PCU_Tests.ttcn:4943 Port BSSGP_SIG[0] was stopped. 08:56:45.131534 mtc PCU_Tests.ttcn:4943 Port BSSGP_SIG[1] was stopped. 08:56:45.131545 mtc PCU_Tests.ttcn:4943 Port BSSGP_SIG[2] was stopped. 08:56:45.131555 mtc PCU_Tests.ttcn:4943 Port BSSGP_GLOBAL[0] was stopped. 08:56:45.131566 mtc PCU_Tests.ttcn:4943 Port BSSGP_GLOBAL[1] was stopped. 08:56:45.131576 mtc PCU_Tests.ttcn:4943 Port BSSGP_GLOBAL[2] was stopped. 08:56:45.131587 mtc PCU_Tests.ttcn:4943 Port BSSGP_PROC[0] was stopped. 08:56:45.131599 mtc PCU_Tests.ttcn:4943 Port BSSGP_PROC[1] was stopped. 08:56:45.131609 mtc PCU_Tests.ttcn:4943 Port BSSGP_PROC[2] was stopped. 08:56:45.131620 mtc PCU_Tests.ttcn:4943 Port STATSD_PROC was stopped. 08:56:45.131632 mtc PCU_Tests.ttcn:4943 Removing unterminated mapping between port PCUVTY and system:PCUVTY. 08:56:45.131682 mtc PCU_Tests.ttcn:4943 Port PCUVTY was unmapped from system:PCUVTY. 08:56:45.131704 mtc PCU_Tests.ttcn:4943 Port PCUVTY was stopped. 08:56:45.131716 mtc PCU_Tests.ttcn:4943 Component type PCU_Tests.RAW_PCU_Test_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:45.131731 mtc PCU_Tests.ttcn:4943 Waiting for PTCs to finish. 08:56:45.131760 519 - Port TC was disconnected from mtc:BTS. 08:56:45.131771 518 - Kill was requested from MC. Terminating idle PTC. 08:56:45.131791 519 - Kill was requested from MC. Terminating idle PTC. 08:56:45.131794 518 - Terminating component type PCUIF_Components.RAW_PCUIF_CT. 08:56:45.131802 519 - Terminating component type PCUIF_Components.RAW_PCU_BTS_CT. 08:56:45.131808 518 - Removing unterminated mapping between port PCU and system:PCU. 08:56:45.131811 521 - Kill was requested from MC. Terminating idle PTC. 08:56:45.131814 519 - Removing unterminated connection between port CLCK and ClckGen-0(521):CLCK. 08:56:45.131842 521 - Terminating component type PCUIF_Components.RAW_PCU_ClckGen_CT. 08:56:45.131845 519 - Port CLCK was stopped. 08:56:45.131856 521 - Stop timer T_TDMAClock: 0.004615 s 08:56:45.131857 519 - Removing unterminated connection between port PCUIF and PCUIF(518):BTS. 08:56:45.131873 521 - Removing unterminated connection between port CLCK and BTS(519):CLCK. 08:56:45.131877 519 - Port PCUIF was stopped. 08:56:45.131888 519 - Port TC was stopped. 08:56:45.131897 519 - Component type PCUIF_Components.RAW_PCU_BTS_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:45.131905 521 - Port CLCK was stopped. 08:56:45.131910 519 - Final verdict of PTC: none 08:56:45.131918 521 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:45.131924 518 - Port PCU was unmapped from system:PCU. 08:56:45.131934 521 - Final verdict of PTC: none 08:56:45.131943 518 - Port PCU was stopped. 08:56:45.131955 518 - Removing unterminated connection between port BTS and BTS(519):PCUIF. 08:56:45.131988 518 - Port BTS was stopped. 08:56:45.132000 518 - Port MTC was stopped. 08:56:45.132006 519 - Disconnected from MC. 08:56:45.132010 518 - Component type PCUIF_Components.RAW_PCUIF_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:45.132025 519 - TTCN-3 Parallel Test Component finished. 08:56:45.132026 518 - Final verdict of PTC: pass 08:56:45.132055 521 - Disconnected from MC. 08:56:45.132083 521 - TTCN-3 Parallel Test Component finished. 08:56:45.132107 518 - Disconnected from MC. 08:56:45.132118 mtc PCU_Tests.ttcn:4943 Setting final verdict of the test case. 08:56:45.132125 518 - TTCN-3 Parallel Test Component finished. 08:56:45.132144 mtc PCU_Tests.ttcn:4943 Local verdict of MTC: pass 08:56:45.132158 mtc PCU_Tests.ttcn:4943 Local verdict of PTC PCUIF(518): pass (pass -> pass) 08:56:45.132170 mtc PCU_Tests.ttcn:4943 Local verdict of PTC BTS(519): none (pass -> pass) 08:56:45.132182 mtc PCU_Tests.ttcn:4943 Local verdict of PTC TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520): none (pass -> pass) 08:56:45.132195 mtc PCU_Tests.ttcn:4943 Local verdict of PTC ClckGen-0(521): none (pass -> pass) 08:56:45.132208 mtc PCU_Tests.ttcn:4943 Test case TC_egprs_pkt_chan_req_reject_exhaustion finished. Verdict: pass 08:56:45.132233 mtc PCU_Tests.ttcn:4943 Starting external command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass'. 08:56:46.374496 mtc PCU_Tests.ttcn:4943 External command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass' was executed successfully (exit status: 0). 08:56:46.374600 mtc PCU_Tests.ttcn:2746 Switching to log file `PCU_Tests-TC_mo_ping_pong_with_ul_racap_egprs_only-d7a2899d2dee-mtc.log'