08:56:38.658059 mtc PCU_Tests.ttcn:4890 Starting external command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion'. 08:56:39.686146 mtc PCU_Tests.ttcn:4890 External command `../ttcn3-tcpdump-start.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion' was executed successfully (exit status: 0). 08:56:39.686355 mtc PCU_Tests.ttcn:4890 Test case TC_egprs_pkt_chan_req_reject_exhaustion started. 08:56:39.686400 mtc PCU_Tests.ttcn:4890 Initializing variables, timers and ports of component type PCU_Tests.RAW_PCU_Test_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:39.686546 mtc PCU_Tests.ttcn:4890 Port BTS was started. 08:56:39.686575 mtc PCU_Tests.ttcn:4890 Port PROC was started. 08:56:39.686595 mtc PCU_Tests.ttcn:4890 Port RIM was started. 08:56:39.686613 mtc PCU_Tests.ttcn:4890 Port IPA_CTRL was started. 08:56:39.686631 mtc PCU_Tests.ttcn:4890 Port BSSGP[0] was started. 08:56:39.686650 mtc PCU_Tests.ttcn:4890 Port BSSGP[1] was started. 08:56:39.686669 mtc PCU_Tests.ttcn:4890 Port BSSGP[2] was started. 08:56:39.686687 mtc PCU_Tests.ttcn:4890 Port BSSGP_SIG[0] was started. 08:56:39.686706 mtc PCU_Tests.ttcn:4890 Port BSSGP_SIG[1] was started. 08:56:39.686724 mtc PCU_Tests.ttcn:4890 Port BSSGP_SIG[2] was started. 08:56:39.686743 mtc PCU_Tests.ttcn:4890 Port BSSGP_GLOBAL[0] was started. 08:56:39.686762 mtc PCU_Tests.ttcn:4890 Port BSSGP_GLOBAL[1] was started. 08:56:39.686781 mtc PCU_Tests.ttcn:4890 Port BSSGP_GLOBAL[2] was started. 08:56:39.686799 mtc PCU_Tests.ttcn:4890 Port BSSGP_PROC[0] was started. 08:56:39.686817 mtc PCU_Tests.ttcn:4890 Port BSSGP_PROC[1] was started. 08:56:39.686835 mtc PCU_Tests.ttcn:4890 Port BSSGP_PROC[2] was started. 08:56:39.686854 mtc PCU_Tests.ttcn:4890 Port STATSD_PROC was started. 08:56:39.686873 mtc PCU_Tests.ttcn:4890 Port PCUVTY was started. 08:56:39.686891 mtc PCU_Tests.ttcn:4890 Component type PCU_Tests.RAW_PCU_Test_CT was initialized. 08:56:39.688638 mtc PCU_Tests.ttcn:281 Start timer g_T_guard: 60 s 08:56:39.688692 mtc PCU_Tests.ttcn:282 Altstep as_Tguard_RAW was activated as default, id 1 08:56:39.688722 mtc PCU_Tests.ttcn:285 Creating new alive PTC with component type PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:39.695831 518 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.695836 518 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.695878 518 - TTCN-3 Parallel Test Component started on 52803d4adca3. Component reference: PCUIF(518), component type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. Version: 9.0.0. 08:56:39.695891 518 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; PCUIF.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:39.695920 518 - Connected to MC. 08:56:39.695927 518 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCUIF_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:39.696158 mtc PCU_Tests.ttcn:285 PTC was created. Component reference: 518, alive: yes, type: PCUIF_Components.RAW_PCUIF_CT, component name: PCUIF. 08:56:39.696183 mtc PCU_Tests.ttcn:287 Mapping port PCUIF(518):PCU to system:PCU. 08:56:39.698570 518 - Port PCU was started. 08:56:39.698633 518 - Port BTS was started. 08:56:39.698653 518 - Port MTC was started. 08:56:39.698671 518 - Component type PCUIF_Components.RAW_PCUIF_CT was initialized. 08:56:39.698768 518 - Port PCU was mapped to system:PCU. 08:56:39.699069 mtc PCU_Tests.ttcn:287 Map operation of PCUIF(518):PCU to system:PCU finished. 08:56:39.699189 mtc PCU_Tests.ttcn:290 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:39.707942 519 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.707959 519 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.708137 519 - TTCN-3 Parallel Test Component started on 52803d4adca3. Component reference: BTS(519), component type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. Version: 9.0.0. 08:56:39.708186 519 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:39.708285 519 - Connected to MC. 08:56:39.708315 519 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_BTS_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:39.708647 mtc PCU_Tests.ttcn:290 PTC was created. Component reference: 519, alive: yes, type: PCUIF_Components.RAW_PCU_BTS_CT, component name: BTS. 08:56:39.708761 mtc PCU_Tests.ttcn:291 Connecting ports BTS(519):PCUIF and PCUIF(518):BTS. 08:56:39.709304 518 - Port BTS is waiting for connection from BTS(519):PCUIF on UNIX pathname /tmp/ttcn3-portconn-a04aa958. 08:56:39.711499 519 - Port CLCK was started. 08:56:39.711561 519 - Port PCUIF was started. 08:56:39.711581 519 - Port TC was started. 08:56:39.711599 519 - Component type PCUIF_Components.RAW_PCU_BTS_CT was initialized. 08:56:39.711693 519 - Port PCUIF has established the connection with PCUIF(518):BTS using transport type UNIX. 08:56:39.711915 518 - Port BTS has accepted the connection from BTS(519):PCUIF. 08:56:39.712168 mtc PCU_Tests.ttcn:291 Connect operation on BTS(519):PCUIF and PCUIF(518):BTS finished. 08:56:39.712287 mtc PCU_Tests.ttcn:292 Connecting ports BTS(519):TC and mtc:BTS. 08:56:39.712762 mtc PCU_Tests.ttcn:292 Port BTS is waiting for connection from BTS(519):TC on UNIX pathname /tmp/ttcn3-portconn-ec47fe16. 08:56:39.713091 519 - Port TC has established the connection with mtc:BTS using transport type UNIX. 08:56:39.713309 mtc PCU_Tests.ttcn:292 Port BTS has accepted the connection from BTS(519):TC. 08:56:39.713471 mtc PCU_Tests.ttcn:292 Connect operation on BTS(519):TC and mtc:BTS finished. 08:56:39.713523 mtc PCU_Tests.ttcn:260 Mapping port mtc:PCUVTY to system:PCUVTY. 08:56:39.714252 mtc PCU_Tests.ttcn:260 Message enqueued on PCUVTY from system integer : 2 id 1 08:56:39.714321 mtc PCU_Tests.ttcn:260 Port PCUVTY was mapped to system:PCUVTY. 08:56:39.714566 mtc PCU_Tests.ttcn:260 Map operation of mtc:PCUVTY to system:PCUVTY finished. 08:56:39.714708 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:39.714755 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:39.714785 mtc Osmocom_VTY_Functions.ttcn:59 Sent on PCUVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:39.714923 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "enable" 08:56:39.715045 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.715107 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:39.715134 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:39.715156 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:39.715177 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:39.715198 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY failed: Type of the first message in the queue is not charstring. 08:56:39.715224 mtc Osmocom_VTY_Functions.ttcn:86 Matching on port PCUVTY succeeded: 2 with ? matched 08:56:39.715255 mtc Osmocom_VTY_Functions.ttcn:86 Receive operation on port PCUVTY succeeded, message from system(): integer : 2 id 1 08:56:39.715287 mtc Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of PCUVTY. 08:56:39.715342 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 2 08:56:39.715442 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.715525 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:39.715556 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:39.715584 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of PCUVTY. 08:56:39.715614 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.715647 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:39.715700 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.716177 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 3 08:56:39.716377 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.716471 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.716573 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:39.716609 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 3 08:56:39.716645 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 3 was extracted from the queue of PCUVTY. 08:56:39.716680 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.716720 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:39.716821 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.717204 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 4 08:56:39.717406 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.717500 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.717602 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:39.717637 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 4 08:56:39.717673 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 4 was extracted from the queue of PCUVTY. 08:56:39.717730 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.717773 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "egprs only" 08:56:39.717874 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.718221 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:39.718262 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 6 08:56:39.718354 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.718422 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.718487 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "[\w-]+\(*\)\# " unmatched: First message in the queue does not match the template: 08:56:39.718533 mtc Osmocom_VTY_Functions.ttcn:75 Matching on port PCUVTY "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with pattern "*% Unknown command." unmatched: First message in the queue does not match the template: 08:56:39.718572 mtc Osmocom_VTY_Functions.ttcn:85 Matching on port PCUVTY succeeded: "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" with ? matched 08:56:39.718608 mtc Osmocom_VTY_Functions.ttcn:85 Receive operation on port PCUVTY succeeded, message from system(): charstring : "'egprs only' is deprecated, egprs support is controled from BTS/BSC config, this is now a no-op" id 5 08:56:39.718638 mtc Osmocom_VTY_Functions.ttcn:85 Message with id 5 was extracted from the queue of PCUVTY. 08:56:39.718697 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.718756 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.718840 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:39.718872 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 6 08:56:39.718902 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 6 was extracted from the queue of PCUVTY. 08:56:39.718932 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.718960 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:39.719012 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.719334 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 7 08:56:39.719538 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.719638 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:39.719674 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 7 08:56:39.719710 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 7 was extracted from the queue of PCUVTY. 08:56:39.719743 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.719787 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "configure terminal" 08:56:39.719888 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.720252 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config)# " id 8 08:56:39.720454 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.720548 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.720648 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config)# " with pattern "[\w-]+\(*\)\# " matched 08:56:39.720686 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config)# " id 8 08:56:39.720722 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 8 was extracted from the queue of PCUVTY. 08:56:39.720757 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.720798 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "pcu" 08:56:39.720899 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.721140 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 9 08:56:39.721210 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.721273 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.721357 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:39.721390 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 9 08:56:39.721420 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 9 was extracted from the queue of PCUVTY. 08:56:39.721450 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.721479 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "no two-phase-access" 08:56:39.721526 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.721784 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU(config-pcu)# " id 10 08:56:39.721845 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.721905 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY "OsmoPCU(config-pcu)# " with pattern "[\w-]+\# " unmatched: First message in the queue does not match the template: 08:56:39.721985 mtc Osmocom_VTY_Functions.ttcn:74 Matching on port PCUVTY succeeded: "OsmoPCU(config-pcu)# " with pattern "[\w-]+\(*\)\# " matched 08:56:39.722018 mtc Osmocom_VTY_Functions.ttcn:74 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU(config-pcu)# " id 10 08:56:39.722047 mtc Osmocom_VTY_Functions.ttcn:74 Message with id 10 was extracted from the queue of PCUVTY. 08:56:39.722076 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.722103 mtc Osmocom_VTY_Functions.ttcn:105 Sent on PCUVTY to system charstring : "end" 08:56:39.722144 mtc Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.722400 mtc Osmocom_VTY_Functions.ttcn:71 Message enqueued on PCUVTY from system charstring : "OsmoPCU# " id 11 08:56:39.722462 mtc Osmocom_VTY_Functions.ttcn:72 Matching on port PCUVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.722527 mtc Osmocom_VTY_Functions.ttcn:73 Matching on port PCUVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:39.722558 mtc Osmocom_VTY_Functions.ttcn:73 Receive operation on port PCUVTY succeeded, message from system(): charstring : "OsmoPCU# " id 11 08:56:39.722586 mtc Osmocom_VTY_Functions.ttcn:73 Message with id 11 was extracted from the queue of PCUVTY. 08:56:39.722614 mtc Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.722668 mtc StatsD_Checker.ttcnpp:373 Creating new PTC with component type StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:39.731348 520 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.731363 520 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.731539 520 - TTCN-3 Parallel Test Component started on 52803d4adca3. Component reference: TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520), component type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. Version: 9.0.0. 08:56:39.731588 520 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; *.ConsoleMask:=ERROR | TESTCASE | TIMEROP_START | USER | WARNING; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:39.731686 520 - Connected to MC. 08:56:39.731717 520 - Initializing variables, timers and ports of component type StatsD_Checker.StatsD_Checker_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:39.731912 mtc StatsD_Checker.ttcnpp:373 PTC was created. Component reference: 520, alive: no, type: StatsD_Checker.StatsD_Checker_CT, component name: TC_egprs_pkt_chan_req_reject_exhaustion-STATS. 08:56:39.732037 mtc StatsD_Checker.ttcnpp:374 Starting function main("172.18.165.10", 8125) on component TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520). 08:56:39.732163 mtc StatsD_Checker.ttcnpp:374 Function was started. 08:56:39.732202 mtc PCU_Tests.ttcn:299 Connecting ports mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC. 08:56:39.732442 mtc PCU_Tests.ttcn:299 Port STATSD_PROC is waiting for connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC on UNIX pathname /tmp/ttcn3-portconn-e155a802. 08:56:39.735004 520 - Port STATSVTY was started. 08:56:39.735064 520 - Port STATSD_PROC was started. 08:56:39.735093 520 - Port STATS was started. 08:56:39.735112 520 - Component type StatsD_Checker.StatsD_Checker_CT was initialized. 08:56:39.735259 520 - Starting function main("172.18.165.10", 8125). 08:56:39.735427 520 - Port STATSD_PROC has established the connection with mtc:STATSD_PROC using transport type UNIX. 08:56:39.735643 mtc PCU_Tests.ttcn:299 Port STATSD_PROC has accepted the connection from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC. 08:56:39.735757 520 StatsD_Checker.ttcnpp:117 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATS to system:STATS. 08:56:39.735762 mtc PCU_Tests.ttcn:299 Connect operation on mtc:STATSD_PROC and TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC finished. 08:56:39.735800 mtc PCU_Tests.ttcn:301 Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false) on component PCUIF(518). 08:56:39.735906 520 StatsD_Checker.ttcnpp:117 Port STATS was mapped to system:STATS. 08:56:39.735917 mtc PCU_Tests.ttcn:301 Function was started. 08:56:39.736027 520 StatsD_Checker.ttcnpp:117 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATS to system:STATS finished. 08:56:39.736067 518 - Starting function f_PCUIF_CT_handler("/data/unix/pcu_bts", false). 08:56:39.736094 mtc PCU_Tests.ttcn:302 Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true) on component BTS(519). 08:56:39.736366 518 PCUIF_Components.ttcn:648 "Init PCU interface on '/data/unix/pcu_bts', waiting for connection..." 08:56:39.736368 520 StatsD_Checker.ttcnpp:118 entering f__IPL4__PROVIDER__listen: 172.18.165.10:8125 / UDP 08:56:39.737031 518 PCUIF_CodecPort.ttcn:117 Sent on PCU to system @UD_Types.UD_listen : { path := "/data/unix/pcu_bts" } 08:56:39.737153 mtc PCU_Tests.ttcn:302 Function was started. 08:56:39.737600 519 - Starting function f_BTS_CT_handler(0, { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) }, true). 08:56:39.737601 518 PCUIF_CodecPort.ttcn:117 Message enqueued on PCU from system @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:39.737852 518 PCUIF_CodecPort.ttcn:118 Start timer T: 5 s 08:56:39.738056 518 PCUIF_CodecPort.ttcn:120 Matching on port PCU succeeded: matched 08:56:39.738117 518 PCUIF_CodecPort.ttcn:120 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_listen_result : { id := 0, result := { result_code := SUCCESS (1), err := omit } } id 1 08:56:39.738154 518 PCUIF_CodecPort.ttcn:120 Message with id 1 was extracted from the queue of PCU. 08:56:39.738286 518 PCUIF_Components.ttcn:652 Start timer T_Conn: 10 s 08:56:39.738421 520 StatsD_Checker.ttcnpp:126 Mapping port TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSVTY to system:STATSVTY. 08:56:39.739461 519 PCUIF_Components.ttcn:499 Creating new alive PTC with component type PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:39.739947 520 StatsD_Checker.ttcnpp:126 Message enqueued on STATSVTY from system integer : 2 id 1 08:56:39.740177 520 StatsD_Checker.ttcnpp:126 Port STATSVTY was mapped to system:STATSVTY. 08:56:39.740428 520 StatsD_Checker.ttcnpp:126 Map operation of TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSVTY to system:STATSVTY finished. 08:56:39.740894 520 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 1, prompt := "OsmoPCU> ", has_wildcards := false } } 08:56:39.741041 520 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 2, prompt := "OsmoPCU# ", has_wildcards := false } } 08:56:39.741088 520 Osmocom_VTY_Functions.ttcn:59 Sent on STATSVTY to system @TELNETasp_PortType.ASP_TelnetDynamicConfig : { prompt := { id := 3, prompt := "OsmoPCU(*)", has_wildcards := true } } 08:56:39.741408 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "enable" 08:56:39.741615 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.741764 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:39.741791 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:39.741812 520 Osmocom_VTY_Functions.ttcn:74 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:39.741832 520 Osmocom_VTY_Functions.ttcn:75 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:39.741875 520 Osmocom_VTY_Functions.ttcn:85 Matching on port STATSVTY failed: Type of the first message in the queue is not charstring. 08:56:39.741989 520 Osmocom_VTY_Functions.ttcn:86 Matching on port STATSVTY succeeded: 2 with ? matched 08:56:39.742041 520 Osmocom_VTY_Functions.ttcn:86 Receive operation on port STATSVTY succeeded, message from system(): integer : 2 id 1 08:56:39.742075 520 Osmocom_VTY_Functions.ttcn:86 Message with id 1 was extracted from the queue of STATSVTY. 08:56:39.742275 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 2 08:56:39.742526 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.742643 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:39.742673 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 2 08:56:39.742700 520 Osmocom_VTY_Functions.ttcn:73 Message with id 2 was extracted from the queue of STATSVTY. 08:56:39.742749 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.742797 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:39.742860 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:39.743095 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 3 08:56:39.743295 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:39.743387 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:39.743420 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 3 08:56:39.743452 520 Osmocom_VTY_Functions.ttcn:73 Message with id 3 was extracted from the queue of STATSVTY. 08:56:39.743483 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:39.747587 521 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.747600 521 - Warning: A plug-in from the same path `libjunitlogger2' is already active, skipping plug-in 08:56:39.747741 521 - TTCN-3 Parallel Test Component started on 52803d4adca3. Component reference: ClckGen-0(521), component type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. Version: 9.0.0. 08:56:39.747777 521 - TTCN Logger v2.2 options: TimeStampFormat:=Time; LogEntityName:=No; LogEventTypes:=No; SourceInfoFormat:=Single; LogSensitiveData:=No; *.FileMask:=LOG_ALL | MATCHING | DEBUG; ClckGen-0.ConsoleMask:=ERROR; LogFileSize:=0; LogFileNumber:=1; DiskFullAction:=Error 08:56:39.747857 521 - Connected to MC. 08:56:39.747881 521 - Initializing variables, timers and ports of component type PCUIF_Components.RAW_PCU_ClckGen_CT inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:39.748066 519 PCUIF_Components.ttcn:499 PTC was created. Component reference: 521, alive: yes, type: PCUIF_Components.RAW_PCU_ClckGen_CT, component name: ClckGen-0. 08:56:39.748243 519 PCUIF_Components.ttcn:500 Connecting ports ClckGen-0(521):CLCK and BTS(519):CLCK. 08:56:39.748650 519 PCUIF_Components.ttcn:500 Port CLCK is waiting for connection from ClckGen-0(521):CLCK on UNIX pathname /tmp/ttcn3-portconn-b755a75a. 08:56:39.750167 521 - Port CLCK was started. 08:56:39.750218 521 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was initialized. 08:56:39.750318 521 - Port CLCK has established the connection with BTS(519):CLCK using transport type UNIX. 08:56:39.750509 519 PCUIF_Components.ttcn:500 Port CLCK has accepted the connection from ClckGen-0(521):CLCK. 08:56:39.750615 519 PCUIF_Components.ttcn:500 Connect operation on ClckGen-0(521):CLCK and BTS(519):CLCK finished. 08:56:41.461160 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:41.461377 518 PCUIF_Components.ttcn:654 Matching on port PCU succeeded: matched 08:56:41.461420 518 PCUIF_Components.ttcn:654 Receive operation on port PCU succeeded, message from system(): @UD_Types.UD_connected : { path := "/data/unix/pcu_bts", id := 0, result := { result_code := SUCCESS (1), err := omit } } id 2 08:56:41.461452 518 PCUIF_Components.ttcn:654 Message with id 2 was extracted from the queue of PCU. 08:56:41.461501 518 PCUIF_Components.ttcn:655 OsmoPCU is now connected 08:56:41.461812 518 PCUIF_Components.ttcn:658 Sent on BTS to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } 08:56:41.461996 518 PCUIF_Components.ttcn:660 setverdict(pass): none -> pass 08:56:41.462054 518 PCUIF_Components.ttcn:661 Stop timer T_Conn: 10 s 08:56:41.462367 519 PCUIF_Components.ttcn:503 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:41.462445 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '7000000000312E352E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 3 08:56:41.462655 519 PCUIF_Components.ttcn:503 Matching on port PCUIF succeeded: matched 08:56:41.462729 519 PCUIF_Components.ttcn:503 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Components.RAW_PCU_Event : { event := PCU_EV_CONNECT (1), data := omit } id 1 08:56:41.462773 519 PCUIF_Components.ttcn:503 Message with id 1 was extracted from the queue of PCUIF. 08:56:41.462842 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '7000000000312E352E3000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.463454 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } 08:56:41.463748 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } }, id := 0 } id 3 08:56:41.463828 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.463880 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } }, id := 0 } id 3 08:56:41.463910 518 PCUIF_Components.ttcn:665 Message with id 3 was extracted from the queue of PCU. 08:56:41.463969 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } 08:56:41.464364 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } id 2 08:56:41.464680 519 PCUIF_Components.ttcn:507 Matching on port PCUIF succeeded: matched 08:56:41.464729 519 PCUIF_Components.ttcn:507 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TXT_IND (112), bts_nr := 0, spare := '0000'O, u := { txt_ind := { txt_type := PCU_VERSION (0), text := "1.5.0" } } } id 2 08:56:41.464763 519 PCUIF_Components.ttcn:507 Message with id 2 was extracted from the queue of PCUIF. 08:56:41.464789 519 PCUIF_Components.ttcn:508 Rx TXT.ind from the PCU, version is "1.5.0" 08:56:41.466178 519 PCUIF_Components.ttcn:511 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:41.467032 519 PCUIF_Components.ttcn:377 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.467158 519 PCUIF_Components.ttcn:522 Sent on TC to mtc @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } 08:56:41.467219 519 PCUIF_Components.ttcn:525 Starting function f_ClckGen_CT_handler(0) on component ClckGen-0(521). 08:56:41.467363 mtc PCU_Tests.ttcn:305 Message enqueued on BTS from BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:41.467507 mtc PCU_Tests.ttcn:305 Matching on port BTS succeeded: matched 08:56:41.467546 mtc PCU_Tests.ttcn:305 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.RAW_PCU_Event : { event := BTS_EV_SI13_NEGO (2), data := omit } id 1 08:56:41.467577 mtc PCU_Tests.ttcn:305 Message with id 1 was extracted from the queue of BTS. 08:56:41.467587 519 PCUIF_Components.ttcn:525 Function was started. 08:56:41.467672 mtc StatsD_Checker.ttcnpp:384 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_reset : { } 08:56:41.467713 521 - Starting function f_ClckGen_CT_handler(0). 08:56:41.467774 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:41.467998 520 StatsD_Checker.ttcnpp:135 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_reset : { } id 1 08:56:41.468214 520 StatsD_Checker.ttcnpp:136 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:41.468293 520 StatsD_Checker.ttcnpp:136 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_reset : { } id 1 08:56:41.468347 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } 08:56:41.468356 520 StatsD_Checker.ttcnpp:136 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:41.468391 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats reset" 08:56:41.468423 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.468519 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:41.468602 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.468688 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:41.468881 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } id 1 08:56:41.468940 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:41.468940 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 4 08:56:41.468985 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 0 } } id 1 08:56:41.469018 519 PCUIF_Components.ttcn:444 Message with id 1 was extracted from the queue of CLCK. 08:56:41.469041 518 PCUIF_Components.ttcn:678 Message with id 1 was extracted from the queue of BTS. 08:56:41.469112 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:41.469148 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:41.469241 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:41.469273 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 4 08:56:41.469306 520 Osmocom_VTY_Functions.ttcn:73 Message with id 4 was extracted from the queue of STATSVTY. 08:56:41.469337 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:41.469488 520 StatsD_Checker.ttcnpp:139 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_reset : { } 08:56:41.469728 mtc StatsD_Checker.ttcnpp:384 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_reset : { } id 1 08:56:41.469850 mtc StatsD_Checker.ttcnpp:385 Matching on port STATSD_PROC succeeded: { } with { } matched 08:56:41.469883 mtc StatsD_Checker.ttcnpp:385 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520): @StatsD_Checker.STATSD_reset : { } id 1 08:56:41.469914 mtc StatsD_Checker.ttcnpp:385 Operation with id 1 was extracted from the queue of STATSD_PROC. 08:56:41.469950 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.396017. 08:56:41.470067 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:41.470128 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:41.470187 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:41.470270 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:41.470402 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.470477 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.470494 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } }, id := 0 } 08:56:41.470654 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:41.470714 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_INFO_IND (50), bts_nr := 0, spare := '0000'O, u := { info_ind := { version := 12, flags := { bts_active := true, direct_phy := false, spare := '00000000000000'B, cs1 := true, cs2 := true, cs3 := true, cs4 := true, mcs1 := true, mcs2 := true, mcs3 := true, mcs4 := true, mcs5 := true, mcs6 := true, mcs7 := true, mcs8 := true, mcs9 := true, spare2 := '000'B }, trx := { { arfcn := 871, pdch_mask := '00000001'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 872, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 873, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 874, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 875, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 876, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 877, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } }, { arfcn := 878, pdch_mask := '00000000'B, spare := '00'O, hLayer1 := 0, ts := { { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B }, { tsc := 7, hopping := 0, hsn := 0, maio := 0, ma_bit_len := 0, ma := '0000000000000000000000000000000000000000000000000000000000000000'B } } } }, bsic := 7, mcc := 262, mnc := 42, mnc_3_digits := 0, lac := 13135, rac := 0, nsei := 1234, nse_timer := { 3, 3, 3, 3, 30, 3, 10 }, cell_timer := { 3, 3, 3, 3, 3, 10, 3, 10, 3, 10, 3 }, cell_id := 20960, repeat_time := 250, repeat_count := 3, bvci := 1234, t3142 := 3, t3169 := 5, t3191 := 5, t3193_10ms := 160, t3195 := 5, n3101 := 10, n3103 := 4, n3105 := 8, cv_countdown := 15, dl_tbf_ext := 2500, ul_tbf_ext := 2500, initial_cs := 2, initial_mcs := 1, nsvci := { 1234, 0 }, local_port := { 23000, 0 }, remote_port := { 23000, 0 }, remote_addr := { addr_type := { PCUIF_ADDR_TYPE_IPV4 (4), PCUIF_ADDR_TYPE_UNSPEC (0) }, addr := { 'AC12A50A'O, '00000000000000000000000000000000'O } }, bts_model := PCU_IF_BTS_MODEL_TRX (5) } } } 08:56:41.471019 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.471132 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.471178 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.471210 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.471242 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 1 08:56:41.471276 519 PCUIF_Components.ttcn:352 Message with id 1 was extracted from the queue of TC. 08:56:41.471331 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.472011 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12A50A0000000000000000000000000000000000000000000000000000000005'O 08:56:41.472494 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '320000000C0000000100FF1F6703800000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000068030000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000690300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006A0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006B0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006C0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006D0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000006E0300000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000700000000000000000000000007000000000000000000000000070000000000000000000000000706012A00004F330000D204030303031E030A03030303030A030A030A03E051FA0003D204030505A0050A04080FC409C4090201D2040000D8590000D85900000400AC12A50A0000000000000000000000000000000000000000000000000000000005'O, id := 0 } 08:56:41.472816 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:41.472929 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:41.473010 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:41.473092 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.473126 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 2 08:56:41.473156 518 PCUIF_Components.ttcn:678 Message with id 2 was extracted from the queue of BTS. 08:56:41.473276 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.473298 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:41.473326 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.473393 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.473409 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.473809 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.473873 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.473900 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } id 3 08:56:41.473925 518 PCUIF_Components.ttcn:678 Message with id 3 was extracted from the queue of BTS. 08:56:41.473983 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } }, id := 0 } 08:56:41.474007 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 0 } } } 08:56:41.474048 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.474438 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.474500 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.474530 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:41.474557 518 PCUIF_Components.ttcn:678 Message with id 4 was extracted from the queue of BTS. 08:56:41.474674 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.474699 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.474768 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.475127 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.475803 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 4 08:56:41.475936 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '4000000001000700000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.476251 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:41.476330 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:41.476371 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.476405 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } }, id := 0 } id 4 08:56:41.476435 518 PCUIF_Components.ttcn:665 Message with id 4 was extracted from the queue of PCU. 08:56:41.476468 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } 08:56:41.476658 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:41.476917 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_ACT_REQ (64) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.477003 519 PCUIF_Components.ttcn:529 Matching on port PCUIF succeeded: matched 08:56:41.477042 519 PCUIF_Components.ttcn:529 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_ACT_REQ (64), bts_nr := 0, spare := '0000'O, u := { act_req := { is_activate := 1, trx_nr := 0, ts_nr := 7, spare := '00'O } } } id 3 08:56:41.477075 519 PCUIF_Components.ttcn:529 Message with id 3 was extracted from the queue of PCUIF. 08:56:41.477112 519 PCUIF_Components.ttcn:530 "Rx ACT.req from the PCU: TRX0/TS7" 08:56:41.478064 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.478214 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.478574 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 5 08:56:41.478706 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.479068 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.479239 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:41.479287 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.479327 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 5 08:56:41.479358 518 PCUIF_Components.ttcn:665 Message with id 5 was extracted from the queue of PCU. 08:56:41.479398 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.479513 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:41.479575 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.479624 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.479682 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.479741 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.479776 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.479809 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 4 08:56:41.479858 519 PCUIF_Components.ttcn:556 Message with id 4 was extracted from the queue of PCUIF. 08:56:41.479996 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O 08:56:41.480254 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A00000000B2B2B2B2B2B'O, confirm := false } 08:56:41.480450 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.480710 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A00000000B2B2B2B2B2B'O 08:56:41.481966 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.482871 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.483023 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } 08:56:41.483068 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.483083 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.483573 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:41.483729 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:41.483766 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:41.483788 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:41.483793 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 3 } } id 2 08:56:41.483822 519 PCUIF_Components.ttcn:460 Message with id 2 was extracted from the queue of CLCK. 08:56:41.483968 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.484103 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.484158 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:41.484187 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A00000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 2 08:56:41.484241 mtc GPRS_Components.ttcn:1068 Message with id 2 was extracted from the queue of BTS. 08:56:41.484290 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 0, polling := '0'B, spare := '0'B, usf := 0, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.484296 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.484341 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 5 08:56:41.484373 518 PCUIF_Components.ttcn:678 Message with id 5 was extracted from the queue of BTS. 08:56:41.484447 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:41.484481 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 0, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.484550 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.484580 mtc GPRS_Components.ttcn:1077 setverdict(pass): none -> pass 08:56:41.484634 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.484669 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.137346. 08:56:41.484731 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:41.484783 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010100100000'B 08:56:41.484834 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (681): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '001'B } } 08:56:41.484911 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=681, TA=0 08:56:41.484923 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.484992 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.485058 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.485076 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:41.485140 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.485182 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.485221 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.485262 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.485309 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 2 08:56:41.485338 519 PCUIF_Components.ttcn:352 Message with id 2 was extracted from the queue of TC. 08:56:41.485368 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.485573 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:41.485707 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.485751 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:41.485783 518 PCUIF_Components.ttcn:678 Message with id 6 was extracted from the queue of BTS. 08:56:41.485853 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.485882 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 681, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.485947 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.486352 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001A90200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.487731 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.487884 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } 08:56:41.487948 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.487969 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:41.488052 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:41.488079 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 4 } } id 3 08:56:41.488118 519 PCUIF_Components.ttcn:444 Message with id 3 was extracted from the queue of CLCK. 08:56:41.488154 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:41.488324 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:41.488475 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.488513 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } id 7 08:56:41.488544 518 PCUIF_Components.ttcn:678 Message with id 7 was extracted from the queue of BTS. 08:56:41.488601 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } }, id := 0 } 08:56:41.488630 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 4 } } } 08:56:41.488681 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.489045 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000004000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.489479 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 6 08:56:41.489609 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.489905 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.490018 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:41.490059 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.490098 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 6 08:56:41.490130 518 PCUIF_Components.ttcn:665 Message with id 6 was extracted from the queue of PCU. 08:56:41.490171 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.490251 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:41.490327 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.490397 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.490442 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.490481 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.490513 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.490546 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 5 08:56:41.490574 519 PCUIF_Components.ttcn:556 Message with id 5 was extracted from the queue of PCUIF. 08:56:41.490599 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O 08:56:41.490640 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000044A10800000B2B2B2B2B2B'O, confirm := false } 08:56:41.490677 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.490755 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000044A10800000B2B2B2B2B2B'O 08:56:41.490854 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.491084 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.491445 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:41.491726 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.491809 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000044A10800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 3 08:56:41.491883 mtc GPRS_Components.ttcn:1068 Message with id 3 was extracted from the queue of BTS. 08:56:41.491931 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01001'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 1, polling := '0'B, spare := '0'B, usf := 1, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.492220 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.492272 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.492305 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.485545. 08:56:41.492368 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:41.492419 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:41.492470 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:41.492537 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:41.492595 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.492619 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.492684 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.492724 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:41.492729 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.492790 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.492832 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.492868 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.492908 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.492938 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 3 08:56:41.492965 519 PCUIF_Components.ttcn:352 Message with id 3 was extracted from the queue of TC. 08:56:41.492996 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.493191 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:41.493326 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.493369 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:41.493423 518 PCUIF_Components.ttcn:678 Message with id 8 was extracted from the queue of BTS. 08:56:41.493495 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.493524 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.493589 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.493941 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.496699 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 7 08:56:41.496831 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.497136 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.497249 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:41.497291 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.497330 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 7 08:56:41.497362 518 PCUIF_Components.ttcn:665 Message with id 7 was extracted from the queue of PCU. 08:56:41.497385 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.497402 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.497510 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:41.497532 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.497605 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.497660 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.497702 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.497747 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.497782 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.497816 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 6 08:56:41.497845 519 PCUIF_Components.ttcn:556 Message with id 6 was extracted from the queue of PCUIF. 08:56:41.497884 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O 08:56:41.497925 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A21000000B2B2B2B2B2B'O, confirm := false } 08:56:41.497962 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.498001 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A21000000B2B2B2B2B2B'O 08:56:41.498097 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.498276 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.498616 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:41.498919 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.499003 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A21000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 4 08:56:41.499056 mtc GPRS_Components.ttcn:1068 Message with id 4 was extracted from the queue of BTS. 08:56:41.499103 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 2, polling := '0'B, spare := '0'B, usf := 2, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.499396 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.499449 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.499482 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.257778. 08:56:41.499544 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:41.499596 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101000000'B 08:56:41.499647 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (682): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:41.499710 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=682, TA=0 08:56:41.499793 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.499857 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.499874 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:41.499947 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.499988 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.500026 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.500055 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.500085 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 4 08:56:41.500113 519 PCUIF_Components.ttcn:352 Message with id 4 was extracted from the queue of TC. 08:56:41.500144 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.500337 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:41.500471 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.500513 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 9 08:56:41.500565 518 PCUIF_Components.ttcn:678 Message with id 9 was extracted from the queue of BTS. 08:56:41.500636 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.500665 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.500728 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.501085 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.502185 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.502393 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } 08:56:41.502500 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.502639 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:41.502850 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:41.502894 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:41.502923 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 7 } } id 4 08:56:41.502954 519 PCUIF_Components.ttcn:460 Message with id 4 was extracted from the queue of CLCK. 08:56:41.503043 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.503245 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 10 08:56:41.503380 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.503422 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 10 08:56:41.503454 518 PCUIF_Components.ttcn:678 Message with id 10 was extracted from the queue of BTS. 08:56:41.503525 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:41.503555 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 4, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.503621 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.504008 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.504211 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 8 08:56:41.504266 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.504556 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.504654 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:41.504694 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.504728 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 8 08:56:41.504756 518 PCUIF_Components.ttcn:665 Message with id 8 was extracted from the queue of PCU. 08:56:41.504793 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.504978 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:41.505145 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.505237 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.505285 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.505335 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.505371 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.505408 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 7 08:56:41.505439 519 PCUIF_Components.ttcn:556 Message with id 7 was extracted from the queue of PCUIF. 08:56:41.505467 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O 08:56:41.505511 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045231800000B2B2B2B2B2B'O, confirm := false } 08:56:41.505549 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.505601 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045231800000B2B2B2B2B2B'O 08:56:41.505709 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.505905 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.506263 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:41.506568 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.506652 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045231800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 5 08:56:41.506725 mtc GPRS_Components.ttcn:1068 Message with id 5 was extracted from the queue of BTS. 08:56:41.506772 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 3, polling := '0'B, spare := '0'B, usf := 3, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.507060 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.507112 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.507145 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.357579. 08:56:41.507151 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.507189 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } 08:56:41.507207 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:41.507222 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.507261 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101000000'B 08:56:41.507312 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (682): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '010'B } } 08:56:41.507356 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:41.507376 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=682, TA=0 08:56:41.507462 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.507527 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.507558 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:41.507598 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 8 } } id 5 08:56:41.507629 519 PCUIF_Components.ttcn:444 Message with id 5 was extracted from the queue of CLCK. 08:56:41.507675 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:41.507795 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:41.507859 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.507868 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 11 08:56:41.507905 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.507944 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.507997 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.508000 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.508027 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 5 08:56:41.508040 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } id 11 08:56:41.508055 519 PCUIF_Components.ttcn:352 Message with id 5 was extracted from the queue of TC. 08:56:41.508072 518 PCUIF_Components.ttcn:678 Message with id 11 was extracted from the queue of BTS. 08:56:41.508087 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.508129 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } }, id := 0 } 08:56:41.508159 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 8 } } } 08:56:41.508210 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.508628 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000008000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.508727 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 12 08:56:41.508763 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.508793 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 12 08:56:41.508818 518 PCUIF_Components.ttcn:678 Message with id 12 was extracted from the queue of BTS. 08:56:41.508866 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.508890 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 682, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.508949 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.509299 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AA0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.511550 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 9 08:56:41.511684 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.511863 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.511896 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.511987 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.512106 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:41.512148 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.512187 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 9 08:56:41.512219 518 PCUIF_Components.ttcn:665 Message with id 9 was extracted from the queue of PCU. 08:56:41.512259 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.512464 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:41.512632 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.512700 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.512745 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.512794 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.512830 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.512865 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 8 08:56:41.512898 519 PCUIF_Components.ttcn:556 Message with id 8 was extracted from the queue of PCUIF. 08:56:41.512925 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O 08:56:41.512969 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045242000000B2B2B2B2B2B'O, confirm := false } 08:56:41.513031 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.513082 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045242000000B2B2B2B2B2B'O 08:56:41.513189 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.513371 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.513724 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:41.514027 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.514111 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045242000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 6 08:56:41.514163 mtc GPRS_Components.ttcn:1068 Message with id 6 was extracted from the queue of BTS. 08:56:41.514209 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01010'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 4, polling := '0'B, spare := '0'B, usf := 4, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.514539 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.514592 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.514626 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.486933. 08:56:41.514689 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:41.514741 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010101100000'B 08:56:41.514793 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (683): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '011'B } } 08:56:41.514856 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=683, TA=0 08:56:41.514943 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.515007 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.515092 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:41.515286 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.515368 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.515410 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.515441 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.515474 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 6 08:56:41.515506 519 PCUIF_Components.ttcn:352 Message with id 6 was extracted from the queue of TC. 08:56:41.515542 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.515744 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:41.515877 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.515918 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 13 08:56:41.515949 518 PCUIF_Components.ttcn:678 Message with id 13 was extracted from the queue of BTS. 08:56:41.516019 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.516048 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 683, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.516141 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.516509 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AB0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.516533 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.516565 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.518799 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 10 08:56:41.518932 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.519287 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.519408 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:41.519451 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.519490 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 10 08:56:41.519521 518 PCUIF_Components.ttcn:665 Message with id 10 was extracted from the queue of PCU. 08:56:41.519560 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.519761 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:41.519928 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.519996 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.520041 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.520090 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.520149 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.520186 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 9 08:56:41.520219 519 PCUIF_Components.ttcn:556 Message with id 9 was extracted from the queue of PCUIF. 08:56:41.520248 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O 08:56:41.520292 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000045A52800000B2B2B2B2B2B'O, confirm := false } 08:56:41.520332 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.520381 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000045A52800000B2B2B2B2B2B'O 08:56:41.520485 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.520662 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.521035 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:41.521201 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.521234 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } 08:56:41.521264 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.521317 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.521389 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:41.521412 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000045A52800000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 7 08:56:41.521489 mtc GPRS_Components.ttcn:1068 Message with id 7 was extracted from the queue of BTS. 08:56:41.521537 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01011'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 5, polling := '0'B, spare := '0'B, usf := 5, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.521592 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:41.521636 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:41.521665 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 11 } } id 6 08:56:41.521696 519 PCUIF_Components.ttcn:460 Message with id 6 was extracted from the queue of CLCK. 08:56:41.521787 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.521820 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.521874 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.521888 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:41.521908 mtc Osmocom_Types.ttcn:65 Function rnd() returned 0.715073. 08:56:41.521930 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.521961 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 14 08:56:41.521972 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:41.521988 518 PCUIF_Components.ttcn:678 Message with id 14 was extracted from the queue of BTS. 08:56:41.522026 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110100000'B 08:56:41.522057 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:41.522079 mtc PCU_Tests.ttcn:4618 Sending EGPRS Packet Channel Request (685): { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:41.522097 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 8, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.522156 mtc GPRS_Components.ttcn:1119 Sending RACH.ind on fn=1337 with RA=685, TA=0 08:56:41.522161 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.522242 mtc GPRS_Components.ttcn:1120 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.522337 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.522366 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:41.522486 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.522541 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.522562 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.522582 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.522616 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.522651 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 7 08:56:41.522683 519 PCUIF_Components.ttcn:352 Message with id 7 was extracted from the queue of TC. 08:56:41.522716 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.522793 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:41.522831 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.522860 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 15 08:56:41.522885 518 PCUIF_Components.ttcn:678 Message with id 15 was extracted from the queue of BTS. 08:56:41.522935 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.522959 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1337, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.523009 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AD0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.523360 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AD0200003905000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.525486 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 11 08:56:41.525538 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.525803 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.525897 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:41.525902 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.525927 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.525941 521 PCUIF_Components.ttcn:248 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } 08:56:41.525961 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 11 08:56:41.525997 518 PCUIF_Components.ttcn:665 Message with id 11 was extracted from the queue of PCU. 08:56:41.525999 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.526030 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.526141 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:41.526363 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:41.526457 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.526519 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.526562 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.526610 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.526646 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.526682 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 10 08:56:41.526714 519 PCUIF_Components.ttcn:556 Message with id 10 was extracted from the queue of PCUIF. 08:56:41.526741 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O 08:56:41.526784 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '2D063F100FE3677F096B000046A63000000B2B2B2B2B2B'O, confirm := false } 08:56:41.526822 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.526869 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '2D063F100FE3677F096B000046A63000000B2B2B2B2B2B'O 08:56:41.526973 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.527170 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } 08:56:41.527305 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:41.527335 519 PCUIF_Components.ttcn:460 Matching on port CLCK TDMA_EV_PTCCH_UL_BURST (6) with TDMA_EV_PDTCH_BLOCK_END (4) unmatched: First message in the queue does not match the template: 08:56:41.527402 519 PCUIF_Components.ttcn:484 Matching on port CLCK succeeded: matched 08:56:41.527433 519 PCUIF_Components.ttcn:484 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PTCCH_UL_BURST (6), data := { tdma_fn := 12 } } id 7 08:56:41.527461 519 PCUIF_Components.ttcn:484 Message with id 7 was extracted from the queue of CLCK. 08:56:41.527537 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:41.527821 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.527905 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF2D063F100FE3677F096B000046A63000000B2B2B2B2B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } }, confirm := false } id 8 08:56:41.527982 mtc GPRS_Components.ttcn:1068 Message with id 8 was extracted from the queue of BTS. 08:56:41.528029 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 11, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT (63) }, payload := { imm_ass := { ded_or_tbf := { spare := '0'B, tma := false, downlink := false, tbf := true }, page_mode := PAGE_MODE_NORMAL (0), chan_desc := omit, pkt_chan_desc := { channel_Type_spare := 1, tn := 7, tsc := 7, presence := '0'B, zero := { hopping := '0'B, spare := '0'B, arfcn := 871, indirect := omit }, one := omit }, req_ref := { ra := '01111111'B, t1p := 1, t3 := 11, t2 := 11 }, timing_advance := 0, mobile_allocation := { len := 0, ma := ''B }, rest_octets := { presence := '01'B, ll := omit, lh := { presence := '00'B, egprs_ul := { ext_ra := '01101'B, ats_present := '0'B, ats := omit, presence := '1'B, dynamic := { tfi_assignment := 6, polling := '0'B, spare := '0'B, usf := 6, usf_granularity := '0'B, p0_present := '0'B, p0 := omit, pr_mode := omit, egprs_ch_coding_cmd := CH_CODING_MCS1 (0), tlli_block_chan_coding := '0'B, bep_period2_present := '0'B, bep_period2 := omit, resegment := '0'B, egprs_window_size := EGPRS_WS_64 (0), alpha_present := '0'B, alpha := omit, gamma := 0, ta_index_present := '0'B, ta_index := omit, tbf_starting_time_present := '0'B, tbf_starting_time := omit }, multiblock := omit }, multiblock_dl_ass := omit }, hl := omit, hh := omit } } } } 08:56:41.528323 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.528375 mtc PCU_Tests.ttcn:4626 setverdict(pass): pass -> pass, component reason not changed 08:56:41.528407 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Encoding @RLCMAC_CSN1_Types.EGPRSPktChRequest: { one_phase := { tag := '0'B, multislot_class := '10101'B, priority := '01'B, random_bits := '101'B } } 08:56:41.528458 mtc RLCMAC_CSN1_Types.ttcn:1418 enc_EGPRSPktChRequestInternal(): Stream after encoding: '0101010110100000'B 08:56:41.528596 mtc PCU_Tests.ttcn:4777 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.528669 mtc GPRS_Components.ttcn:1088 Start timer T: 2 s 08:56:41.528679 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:41.528741 519 PCUIF_Components.ttcn:335 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_DATA_IND (2) unmatched: First message in the queue does not match the template: 08:56:41.528789 519 PCUIF_Components.ttcn:343 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PDTCH (5), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.528826 519 PCUIF_Components.ttcn:347 Matching on port TC PCU_IF_MSG_RACH_IND (34) with PCU_IF_MSG_RTS_REQ (16) unmatched.u{ rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } with { rts_req := { sapi := PCU_IF_SAPI_PTCCH (7), spare := ?, fn := ?, arfcn := ?, trx_nr := ?, ts_nr := ?, block_nr := ? } } unmatched: First message in the queue does not match the template: 08:56:41.528855 519 PCUIF_Components.ttcn:352 Matching on port TC succeeded: matched 08:56:41.528884 519 PCUIF_Components.ttcn:352 Receive operation on port TC succeeded, message from mtc: @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 8 08:56:41.528910 519 PCUIF_Components.ttcn:352 Message with id 8 was extracted from the queue of TC. 08:56:41.528941 519 PCUIF_Components.ttcn:353 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.529004 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 16 08:56:41.529039 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.529067 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } id 16 08:56:41.529091 518 PCUIF_Components.ttcn:678 Message with id 16 was extracted from the queue of BTS. 08:56:41.529130 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } }, id := 0 } 08:56:41.529154 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_RACH_IND (34), bts_nr := 0, spare := '0000'O, u := { rach_ind := { sapi := PCU_IF_SAPI_RACH (1), ra := 685, qta := 0, fn := 1870, arfcn := 871, is_11bit := 1, burst_type := BURST_TYPE_1 (2), trx_nr := 0, ts_nr := 0 } } } 08:56:41.529198 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '2200000001AD0200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.529547 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '2200000001AD0200004E07000067030102000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.530640 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.530696 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } 08:56:41.530745 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.530879 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:41.530972 518 PCUIF_Components.ttcn:653 Message enqueued on PCU from system @UD_Types.UD_send_data : { data := '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } id 12 08:56:41.531028 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Stream before decoding: '00000000091CFFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.531086 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:41.531127 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 13 } } id 8 08:56:41.531159 519 PCUIF_Components.ttcn:444 Message with id 8 was extracted from the queue of CLCK. 08:56:41.531205 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:41.531278 518 PCUIF_CodecPort.ttcn:36 dec_PCUIF_Message(): Decoded @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.531365 518 PCUIF_Components.ttcn:653 Incoming message was mapped to @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:41.531393 518 PCUIF_Components.ttcn:665 Matching on port PCU succeeded: matched 08:56:41.531425 518 PCUIF_Components.ttcn:665 Receive operation on port PCU succeeded, message from system(): @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } }, id := 0 } id 12 08:56:41.531457 518 PCUIF_Components.ttcn:665 Message with id 12 was extracted from the queue of PCU. 08:56:41.531488 518 PCUIF_Components.ttcn:667 Sent on BTS to BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } 08:56:41.531535 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:41.531562 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.531586 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } id 17 08:56:41.531609 518 PCUIF_Components.ttcn:678 Message with id 17 was extracted from the queue of BTS. 08:56:41.531642 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } }, id := 0 } 08:56:41.531666 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 13 } } } 08:56:41.531676 519 PCUIF_Components.ttcn:505 Message enqueued on PCUIF from PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:41.531700 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.531843 519 PCUIF_Components.ttcn:507 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_TXT_IND (112) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { txt_ind := { txt_type := PCU_VERSION (0), text := ? } } unmatched: First message in the queue does not match the template: 08:56:41.531912 519 PCUIF_Components.ttcn:529 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 1, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.531983 519 PCUIF_Components.ttcn:535 Matching on port PCUIF PCU_IF_MSG_DATA_REQ (0) with PCU_IF_MSG_ACT_REQ (64) unmatched.u{ data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } with { act_req := { is_activate := 0, trx_nr := ?, ts_nr := ?, spare := '00'O } } unmatched: First message in the queue does not match the template: 08:56:41.532033 519 PCUIF_Components.ttcn:540 Matching on port PCUIF PCU_IF_SAPI_AGCH_2 (9) with PCU_IF_SAPI_PCH_2 (8) unmatched: First message in the queue does not match the template: 08:56:41.532037 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '520000000D000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.532069 519 PCUIF_Components.ttcn:556 Matching on port PCUIF succeeded: matched 08:56:41.532108 519 PCUIF_Components.ttcn:556 Receive operation on port PCUIF succeeded, message from PCUIF(518): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_REQ (0), bts_nr := 0, spare := '0000'O, u := { data_req := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 } } } id 11 08:56:41.532141 519 PCUIF_Components.ttcn:556 Message with id 11 was extracted from the queue of PCUIF. 08:56:41.532170 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Stream before decoding: 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O 08:56:41.532216 519 PCUIF_Components.ttcn:562 dec_PCUIF_agch(): Decoded @PCUIF_Types.PCUIF_agch: { msg_id := 'FFFFFFFF'O, data := '4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B'O, confirm := false } 08:56:41.532257 519 PCUIF_Components.ttcn:562 Warning: dec_PCUIF_agch(): Data remained at the end of the stream after successful decoding: '00'O 08:56:41.532307 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Stream before decoding: '4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B'O 08:56:41.532520 519 PCUIF_Components.ttcn:565 dec_GsmRrMessage(): Decoded @GSM_RR_Types.GsmRrMessage: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01101'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:41.532773 519 PCUIF_Components.ttcn:568 Sent on TC to mtc @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01101'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } 08:56:41.533144 mtc GPRS_Components.ttcn:1089 Message enqueued on BTS from BTS(519) @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01101'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:41.533373 mtc GPRS_Components.ttcn:1068 Matching on port BTS succeeded: matched 08:56:41.533448 mtc GPRS_Components.ttcn:1068 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Components.BTS_CCCH_Block : { bts_nr := 0, raw := { sapi := PCU_IF_SAPI_AGCH_2 (9), len := 28, data := 'FFFFFFFF4D063A107F0C58037F0C58037F0C58037F0C5803B42B2B00'O, fn := 0, arfcn := 0, trx_nr := 0, ts_nr := 0, block_nr := 0, rssi := 0, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 0 }, msg_id := 'FFFFFFFF'O, imsi := omit, rr_msg := { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01101'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } }, confirm := false } id 9 08:56:41.533517 mtc GPRS_Components.ttcn:1068 Message with id 9 was extracted from the queue of BTS. 08:56:41.533556 mtc GPRS_Components.ttcn:1072 Rx Immediate Assignment: { header := { l2_plen := { l2_plen := 19, zero_one := '01'B }, skip_indicator := 0, rr_protocol_discriminator := 6, message_type := IMMEDIATE_ASSIGNMENT_REJECT (58) }, payload := { imm_ass_rej := { feature_ind := { peo_bcch_change_mark := '00'B, cs_ir := false, ps_ir := true }, page_mode := PAGE_MODE_NORMAL (0), payload := { { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 }, { req_ref := { ra := '01111111'B, t1p := 1, t3 := 34, t2 := 24 }, wait_ind := 3 } }, rest_octets := { ext_ra_list := { { presence := '1'B, ext_ra := '01101'B }, { presence := '1'B, ext_ra := '00010'B }, { presence := '0'B, ext_ra := omit }, { presence := '0'B, ext_ra := omit } }, rel13_ind := '0'B, rcc := omit } } } } 08:56:41.533817 mtc GPRS_Components.ttcn:1077 setverdict(pass): pass -> pass, component reason not changed 08:56:41.533900 mtc PCU_Tests.ttcn:4809 setverdict(pass): pass -> pass, component reason not changed 08:56:41.534061 mtc StatsD_Checker.ttcnpp:420 Called on STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } }, wait_converge := false, use_snapshot := false, snapshot := { } } 08:56:41.534639 520 StatsD_Checker.ttcnpp:135 Call enqueued on STATSD_PROC from mtc @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } }, wait_converge := false, use_snapshot := false, snapshot := { } } id 2 08:56:41.534875 520 StatsD_Checker.ttcnpp:136 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_reset. 08:56:41.534910 520 StatsD_Checker.ttcnpp:145 Matching on port STATSD_PROC failed: The first entity in the queue is not a call for signature @StatsD_Checker.STATSD_snapshot. 08:56:41.535130 520 StatsD_Checker.ttcnpp:149 Matching on port STATSD_PROC succeeded: { expects := matched, wait_converge := false with ? matched, use_snapshot := false with ? matched, snapshot := matched } 08:56:41.535339 520 StatsD_Checker.ttcnpp:149 Getcall operation on port STATSD_PROC succeeded, call from mtc: @StatsD_Checker.STATSD_expect : { expects := { { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 }, { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 }, { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 }, { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } }, wait_converge := false, use_snapshot := false, snapshot := { } } id 1 08:56:41.535378 520 StatsD_Checker.ttcnpp:149 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:41.535396 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.535424 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.535722 520 StatsD_Checker.ttcnpp:311 Port STATS was cleared. 08:56:41.535763 520 Osmocom_VTY_Functions.ttcn:105 Sent on STATSVTY to system charstring : "stats report" 08:56:41.535855 520 Osmocom_VTY_Functions.ttcn:70 Start timer T: 2 s 08:56:41.536931 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E362E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E362E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E362E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E362E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E352E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c") } id 1 08:56:41.537277 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.6.rlc.nacked:0|c\nTTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c" 08:56:41.537658 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.537689 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.537716 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.537740 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.537771 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.537794 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.537874 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.537901 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.537930 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.537952 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.538036 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538062 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.538090 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.538114 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.538138 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538162 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.538197 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.538219 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538242 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.538322 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538355 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.538432 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538456 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.538479 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.538520 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538557 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.538580 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.538602 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538623 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.538646 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.538670 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538692 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.538716 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.538738 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.538765 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538787 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.538810 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.538832 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.538853 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538881 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.538902 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.538923 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538945 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.538967 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.538988 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.539010 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539031 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.539053 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.539075 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539102 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.539125 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.539146 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539167 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.539189 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.539211 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539233 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.539257 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.539279 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.539303 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539326 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.539349 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.539371 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.539398 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539420 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.539442 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.539463 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539485 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.539506 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539527 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.539549 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539570 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.539592 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.539613 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.6.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539640 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.539661 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.539682 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539705 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.539726 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.539748 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539770 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.539792 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.539813 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.539836 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539857 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.539880 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.539907 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.539928 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.539949 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.539971 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.539992 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540013 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.540034 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540055 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.540061 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.540077 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540088 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.540099 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.540122 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.540142 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540169 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.540192 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.540213 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540241 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.540264 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.540287 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540308 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.540331 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.540353 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.540374 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540395 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.540418 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.540439 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.540460 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540484 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.540504 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.540522 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540541 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.540560 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540580 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.540598 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540617 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.540637 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.540655 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540679 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.540699 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.540718 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540737 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.540756 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.540775 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540794 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.540815 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.540834 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.540853 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540872 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.540892 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.540911 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.540930 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.540955 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.540974 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.540992 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541011 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.541030 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541049 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.541068 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541087 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.541107 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.541127 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541145 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.541165 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.541184 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541209 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.541229 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.541249 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541268 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.541289 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.541308 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.541328 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541348 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.541368 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.541387 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.541406 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541425 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.541444 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.541463 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541487 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.541506 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541527 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.541543 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541560 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.541578 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.541595 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541613 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.541630 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.541648 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541665 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.541683 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.541701 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541731 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.541749 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.541766 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.541784 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541802 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.541820 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.541836 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.541853 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541870 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.541887 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.541904 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541921 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.541937 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541954 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.541971 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.541992 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.542010 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542027 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542044 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.542061 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.542078 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542103 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.542121 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542139 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542156 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.542174 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.542192 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.542210 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542233 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.542251 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.542268 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542286 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542324 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.542341 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.542357 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542373 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.542388 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542404 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.542420 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542435 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.542451 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542467 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542482 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.542498 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.542513 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542533 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.542549 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542564 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542580 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.542596 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.542612 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.542628 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542644 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.542661 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.542676 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542691 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542706 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.542722 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.542737 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542752 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.542768 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542788 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.542804 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542819 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.542835 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542851 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542866 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.542882 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.542897 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542913 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.542928 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.542944 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.542960 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.542976 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.542992 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.543008 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543024 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.543041 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.543060 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543075 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543092 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.543107 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.543122 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543138 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.543152 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543167 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.543181 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543196 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.543210 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543224 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543239 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.543253 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.543267 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543289 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.543304 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543319 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543333 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.543348 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.543363 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.543378 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543393 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.543409 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.543423 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543437 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543452 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.543466 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.543480 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543495 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.543509 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543524 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.543538 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543556 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.543570 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543584 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.6.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543599 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.543613 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.543627 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543642 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.543657 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543672 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543687 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.543701 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.543714 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.543728 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543741 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.543755 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.543768 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543781 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543794 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.543807 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.543820 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543836 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.543849 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543863 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.543876 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543888 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.543902 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543915 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.6.rlc.nacked:0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543928 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.543941 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.543954 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.543967 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.543980 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.543994 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544007 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.544022 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544035 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544048 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544061 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.544075 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544088 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544105 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544118 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.544131 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544144 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544158 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.544171 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544184 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.544197 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544210 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.544223 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544235 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544246 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.544259 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.544271 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544285 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.544298 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544310 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544322 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.544335 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544351 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544363 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544375 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.544388 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544400 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544411 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544423 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.544436 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544448 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544460 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.544472 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544484 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.544496 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544508 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.544520 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544532 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544548 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.544561 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.544573 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544584 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.544597 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544609 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544624 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.544637 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544649 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544662 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544674 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.544686 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544698 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544710 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544722 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.544725 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.544734 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544743 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } 08:56:41.544747 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544763 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.544765 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.544775 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544788 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.544799 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544811 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.544823 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544834 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544847 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.544859 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.544870 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544874 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:41.544884 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.544896 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544909 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544921 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.544933 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544944 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.544956 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.544967 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.544979 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.544990 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.544991 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:41.545001 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545013 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.545016 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:41.545024 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.545032 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 16 } } id 9 08:56:41.545037 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545050 519 PCUIF_Components.ttcn:460 Message with id 9 was extracted from the queue of CLCK. 08:56:41.545050 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.545064 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545076 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.545087 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545098 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.545101 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.545112 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545125 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545136 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.545148 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.545158 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:41.545159 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545171 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.545182 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.545198 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 18 08:56:41.545211 518 PCUIF_Components.ttcn:678 Message with id 18 was extracted from the queue of BTS. 08:56:41.545235 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:41.545247 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 13, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.545274 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.545440 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545458 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545469 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.545471 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '0200000005000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000D0000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.545484 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.545495 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.545507 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545517 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.545528 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.545539 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545550 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545560 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.545572 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.545582 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545593 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.545604 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545615 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.545625 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545637 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.545647 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545658 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.5.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545667 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.545677 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.545687 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545697 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.545708 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545718 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545732 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.545743 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.545753 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.545764 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545773 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.545784 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.545793 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545803 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545816 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.545826 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.545836 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545846 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.545856 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545866 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.545876 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545885 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.545895 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545905 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545915 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.545925 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.545935 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545945 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.545955 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.545965 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.545975 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.545985 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.545995 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546005 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546015 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.546025 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546035 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546047 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546057 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.546067 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546076 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546085 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.546095 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546105 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.546115 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546125 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.546135 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546144 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546154 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.546164 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.546174 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546183 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.546195 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546204 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546213 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.546224 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546233 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546243 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546254 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.546264 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546274 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546283 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546291 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.546312 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546321 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546330 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.546340 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546349 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.546358 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546371 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.546381 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546390 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546399 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.546409 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.546418 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546427 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.546436 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546446 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546455 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.546465 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546474 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546484 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546493 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.546502 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546512 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546521 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546530 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.546539 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546548 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546557 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.546565 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546574 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.546583 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546591 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.546602 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546611 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546620 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.546629 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.546637 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546646 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.546655 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546665 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546673 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.546683 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546692 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546701 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546710 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.546719 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546728 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546737 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546748 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.546757 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546766 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546775 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.546784 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546793 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.546803 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546811 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.546820 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546828 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546836 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.546845 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.546853 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546862 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.546870 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546879 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546887 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.546896 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546904 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546913 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546921 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.546930 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.546938 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.546946 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546953 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.546962 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.546970 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546978 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.546986 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.546994 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.547002 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.547016 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.547025 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.547033 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs6:0|c 08:56:41.547041 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.547049 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.547057 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.547065 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.547073 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.547082 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.547090 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.547099 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.547107 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.547116 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.547124 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.547132 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.547141 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.547149 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.547157 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.547165 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.547173 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.547181 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.547190 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.547200 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.547209 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.547217 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.547225 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.547249 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.547257 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.547266 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.547274 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.547283 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.547291 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.547339 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:41.547540 520 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:41.547695 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E352E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E352E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E352E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E342E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E342E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E342E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E342E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E332E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373313A307C63'O ("TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c") } id 2 08:56:41.547723 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.5.rlc.nacked:0|c\nTTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.4.rlc.nacked:0|c\nTTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c" 08:56:41.547776 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547785 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.547793 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.547801 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547810 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.547818 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.547827 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547837 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.547846 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.547854 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.547863 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547872 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.547881 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.547889 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.547897 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547905 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.547913 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.547921 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547931 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.547939 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547947 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.547955 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547962 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.547971 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.547978 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.547986 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.547994 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.548002 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548012 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.548020 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548028 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548037 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.548045 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548053 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548062 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548070 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.548078 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548085 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548093 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548101 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.548109 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548116 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548126 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.548134 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548142 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.548151 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548158 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.548165 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548172 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.5.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548180 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.548187 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.548194 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548203 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.548210 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548218 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548225 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.548233 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548240 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548247 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548255 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.548263 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548270 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548277 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548284 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.548291 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548298 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548308 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.548315 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548323 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.548330 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548337 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.548344 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548351 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.5.rlc.nacked:0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548358 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.548366 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.548373 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548383 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.548391 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548398 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548405 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.548413 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548420 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548427 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548435 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.548443 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548450 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548456 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548463 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.548470 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548477 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548486 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.548493 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548500 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.548506 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548513 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.548520 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548527 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548534 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.548540 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.548547 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548556 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.548563 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548570 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548577 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.548584 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548591 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548598 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548605 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.548612 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548619 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548626 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548633 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.548639 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548646 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548655 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.548662 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548669 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.548675 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548682 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.548689 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548696 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548703 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.548710 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.548716 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548724 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.548731 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548737 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548746 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.548753 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548759 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548766 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548773 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.548780 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548786 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548792 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548799 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.548805 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548811 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548818 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.548824 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548832 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.548839 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548845 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.548851 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548857 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548864 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.548870 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.548876 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548883 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.548889 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548896 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548902 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.548909 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548915 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548923 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548930 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.548936 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.548942 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.548948 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548955 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.548962 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.548968 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548974 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.548981 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.548987 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.548993 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549001 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549007 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549014 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549020 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549026 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.549033 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549039 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549045 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549052 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549058 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549064 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549071 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549077 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549084 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549091 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549097 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549103 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549112 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549118 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549124 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549131 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.549137 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549143 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.549150 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549156 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549162 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549168 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.4.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549175 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549181 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.549187 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549195 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549202 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549208 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549214 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549221 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549227 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549234 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549241 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549248 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549254 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549261 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549267 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549273 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549279 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549285 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.549291 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549298 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.549304 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549310 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549316 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549322 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549328 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549333 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.549339 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549345 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549351 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549357 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549363 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549369 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549375 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549382 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549389 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549393 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.549396 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549401 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } 08:56:41.549402 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549408 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549410 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.549414 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549421 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549427 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549432 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.549438 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549444 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.549450 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549456 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549462 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549468 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549475 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549481 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.549487 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549490 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:41.549493 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549499 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549506 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549512 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549518 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549524 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549530 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549537 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549543 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549548 519 PCUIF_Components.ttcn:444 Matching on port CLCK succeeded: matched 08:56:41.549549 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549556 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549560 519 PCUIF_Components.ttcn:444 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 17 } } id 10 08:56:41.549562 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549569 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549570 519 PCUIF_Components.ttcn:444 Message with id 10 was extracted from the queue of CLCK. 08:56:41.549575 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549584 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.549586 519 PCUIF_Components.ttcn:457 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:41.549589 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549597 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.549603 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549608 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549614 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549619 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549625 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549631 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.549636 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549642 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549647 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549653 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549659 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549664 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:41.549665 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549671 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549677 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549683 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549689 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549697 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549702 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549706 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.549708 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549714 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549717 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } id 19 08:56:41.549720 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549725 518 PCUIF_Components.ttcn:678 Message with id 19 was extracted from the queue of BTS. 08:56:41.549726 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.549732 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549738 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.549740 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } }, id := 0 } 08:56:41.549744 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549748 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_TIME_IND (82), bts_nr := 0, spare := '0000'O, u := { time_ind := { fn := 17 } } } 08:56:41.549750 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549756 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549762 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.549762 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549767 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549773 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.549778 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549784 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549790 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549795 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549804 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549809 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549815 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549821 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549827 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549833 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549839 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549844 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549850 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549855 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '5200000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.549855 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549862 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549868 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.549875 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549880 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.549885 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549890 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.549896 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549901 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549908 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.549913 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.549919 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549924 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.549929 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549935 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549940 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.549946 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549952 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549957 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549963 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.549969 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.549974 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.549979 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.549984 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.549990 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.549995 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550001 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550006 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550012 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550017 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550024 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550030 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550035 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550041 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550046 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.550051 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550057 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550063 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550068 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550073 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550079 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550085 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550090 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550096 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550101 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550107 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550112 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550117 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550123 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550128 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550133 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550140 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550145 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550151 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550156 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550161 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550167 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550172 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550177 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.550182 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550188 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550193 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550199 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550205 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550210 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550216 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550221 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550227 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550232 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550238 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550243 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550248 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550254 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550259 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550267 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550273 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550278 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550284 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550289 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550294 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550308 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550315 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550321 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.550326 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550332 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550337 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550343 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550348 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550354 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550359 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550365 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550370 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550376 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550381 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550386 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550392 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550397 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550402 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550410 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550415 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550420 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550425 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550431 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550436 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550441 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.4.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550447 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550452 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.550458 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550463 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550467 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550472 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550477 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550482 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550487 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550492 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550496 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550501 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550506 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550511 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550515 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550520 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550525 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550529 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550534 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550540 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550545 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550549 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550554 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550559 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.4.rlc.nacked:0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550564 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550568 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.550573 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550578 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550582 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550587 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550592 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550597 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550601 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550606 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550611 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550616 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550621 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550625 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550630 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550635 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550639 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550644 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550648 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550653 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550658 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550662 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550669 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550674 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550678 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550683 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.550688 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550692 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550697 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550702 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550706 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550711 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550716 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550721 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550725 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550730 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550735 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550740 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550744 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550749 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550754 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550759 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550763 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550767 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550772 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550776 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550781 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550785 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550789 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550794 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.550798 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550803 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550807 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550812 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550818 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550822 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550827 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550831 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550836 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550841 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550845 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550849 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550854 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550858 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550863 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550867 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550871 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550876 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550880 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550884 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550889 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550893 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550898 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.550902 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.550906 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550911 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.550915 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550920 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550924 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.550929 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550933 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550938 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550942 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.550947 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.550951 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.550955 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550960 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.550964 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.550969 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550973 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.550977 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550982 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.550986 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.550992 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.550997 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551001 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551005 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.551010 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.551014 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551018 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.551023 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551028 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551032 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.551036 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551041 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551045 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551050 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.551054 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551059 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551063 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551067 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.551072 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551076 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551080 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.551085 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551089 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.551094 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551098 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.551102 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551107 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.3.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551111 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.551115 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.551120 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551124 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.551129 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551133 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551137 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.551142 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551146 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551151 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551155 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.551160 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551164 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551169 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551173 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.551178 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551182 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551186 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.551191 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551195 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.551201 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551205 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.551209 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551214 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs1:0|c 08:56:41.551218 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.551223 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.551227 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.551231 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.551236 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551240 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.551245 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.551249 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551254 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551258 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.551263 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.551267 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551272 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551276 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.551282 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.551286 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.551290 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.551293 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.551297 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.551301 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.551305 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.551309 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.551313 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.551317 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.551321 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.551325 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.551330 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.551334 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.551338 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.551342 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } 08:56:41.551394 520 Osmocom_VTY_Functions.ttcn:71 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:41.551408 520 Osmocom_VTY_Functions.ttcn:71 Message enqueued on STATSVTY from system charstring : "OsmoPCU# " id 5 08:56:41.551430 520 Osmocom_VTY_Functions.ttcn:72 Matching on port STATSVTY "OsmoPCU# " with pattern "[\w-]+> " unmatched: First message in the queue does not match the template: 08:56:41.551447 520 Osmocom_VTY_Functions.ttcn:73 Matching on port STATSVTY succeeded: "OsmoPCU# " with pattern "[\w-]+\# " matched 08:56:41.551453 520 Osmocom_VTY_Functions.ttcn:73 Receive operation on port STATSVTY succeeded, message from system(): charstring : "OsmoPCU# " id 5 08:56:41.551459 520 Osmocom_VTY_Functions.ttcn:73 Message with id 5 was extracted from the queue of STATSVTY. 08:56:41.551465 520 Osmocom_VTY_Functions.ttcn:99 Stop timer T: 2 s 08:56:41.551481 520 StatsD_Checker.ttcnpp:321 Start timer T_statsd: 5 s 08:56:41.551578 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.551610 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.6.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.6.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.6.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.6.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.5.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 1 08:56:41.551629 520 StatsD_Checker.ttcnpp:325 Message with id 1 was extracted from the queue of STATS. 08:56:41.551771 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.551797 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.5.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.5.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.5.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.4.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.4.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.4.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.4.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.3.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit } } } id 2 08:56:41.551807 520 StatsD_Checker.ttcnpp:325 Message with id 2 was extracted from the queue of STATS. 08:56:41.551889 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E332E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E332E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E332E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E322E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E322E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E322E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E322E6D732E646C5F6374726C5F6D73675F73636865643A307C63'O ("TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c") } id 3 08:56:41.551900 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.3.rlc.nacked:0|c\nTTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.2.rlc.nacked:0|c\nTTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c" 08:56:41.551929 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.551933 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.551937 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.551941 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.551945 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.551949 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551953 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.551959 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.551963 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551967 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551971 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.551975 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.551979 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.551983 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.551986 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.551990 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.551994 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.551997 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552001 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552005 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552010 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552013 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552017 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552021 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552024 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552028 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552032 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552035 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552039 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552042 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552046 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552051 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552055 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552058 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552062 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552066 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552070 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552073 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552077 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552080 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552084 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552087 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552092 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552096 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552100 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552103 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552107 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552110 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552114 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552118 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552121 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552125 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552130 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552134 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552138 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552141 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552145 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552148 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552152 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552156 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552160 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552163 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552167 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552170 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552174 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552177 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552183 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552186 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552190 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552194 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552198 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552202 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552205 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552209 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552212 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552216 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552221 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552225 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552229 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552232 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552236 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552239 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552243 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552247 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552251 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552255 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552258 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552262 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552265 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552268 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552273 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552276 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552280 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552283 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552286 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552290 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552293 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552296 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552300 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552303 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552307 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552311 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552314 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552317 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552321 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552324 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552328 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552331 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552335 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552338 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552341 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552345 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552348 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552351 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552356 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552359 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552362 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552366 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552369 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552372 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552376 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552379 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552382 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552386 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552389 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552393 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552396 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552400 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552404 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552407 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552411 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552414 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552418 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552421 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552424 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552427 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552431 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552434 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552438 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552441 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552445 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552449 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552452 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552456 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552459 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552462 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552466 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552469 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552473 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552476 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552479 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552483 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552486 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552490 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552493 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552498 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552501 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552505 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552508 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552511 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552515 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552518 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552521 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552525 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552528 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552531 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552536 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552539 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552542 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.3.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552546 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552549 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552552 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552556 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552559 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552562 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552566 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552569 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552573 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552576 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552580 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552583 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552586 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552590 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552595 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552598 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552602 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552605 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552608 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552612 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552615 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552619 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552622 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552625 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.3.rlc.nacked:0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552629 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552632 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.552635 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552643 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552646 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552650 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552653 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552657 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552660 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552663 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552667 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552670 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552674 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552677 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552680 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552684 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552687 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552690 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552694 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552698 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552702 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552705 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552708 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552712 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552715 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552718 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.552722 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552725 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552728 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552732 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552735 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552739 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552742 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552745 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552750 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552754 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552757 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552760 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552764 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552767 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552770 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552774 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552777 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552780 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552784 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552787 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552790 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552794 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552797 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552801 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.552804 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552809 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552812 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552815 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552819 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552822 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552825 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552829 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552833 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552836 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552839 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552842 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552846 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552849 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552852 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552856 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552859 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552864 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552867 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552871 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552874 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552877 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552881 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552884 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.552888 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552891 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552895 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552898 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552902 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552905 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552908 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552912 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552916 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.552919 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552922 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552926 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552930 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.552934 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552937 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552940 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.552944 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552947 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.552950 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552954 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.552957 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552960 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552964 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.552967 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.552970 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552974 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.552977 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.552981 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552985 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.552989 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.552992 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.552996 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.552999 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553003 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553006 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553009 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553013 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553016 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553019 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553023 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553026 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553029 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553033 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553036 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553039 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553043 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.2.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553047 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553050 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.553054 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553057 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553061 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553064 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553067 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553071 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553074 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553078 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553081 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553085 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553088 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553091 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553094 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553098 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553101 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553104 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553107 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553111 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553116 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553119 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553122 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553126 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553129 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553132 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553135 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553139 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553142 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553145 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553149 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553152 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553156 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553159 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553163 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553166 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553169 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553173 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553176 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553179 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553183 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553187 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553190 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553194 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553197 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553200 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553204 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553207 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553210 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553214 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553217 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553220 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553224 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553227 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553230 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553234 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553237 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553241 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553244 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553247 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553251 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553254 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553257 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553262 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553265 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553268 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553272 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553275 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553278 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553281 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553285 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553288 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553292 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553295 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553298 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553301 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553305 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553308 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553312 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553315 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553318 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553322 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553326 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553330 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553334 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553337 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553341 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553345 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553348 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553351 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553355 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553358 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553361 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553364 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553368 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553371 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553374 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553378 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553381 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553384 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553387 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553391 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553394 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553397 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553401 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553404 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553407 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553411 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553414 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553417 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553420 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553424 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553427 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553431 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553434 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553438 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553441 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553444 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553447 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553450 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553453 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553457 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553460 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553463 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553466 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553470 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553473 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553477 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553480 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553483 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553486 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553490 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553493 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553496 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553499 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553503 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553506 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553509 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553512 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553517 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553520 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553524 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553527 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553531 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553534 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553537 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553541 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553544 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553548 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553551 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553554 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553558 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553561 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553565 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553568 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553572 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553575 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553578 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553581 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553585 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553588 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553591 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553595 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553598 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553601 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553605 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553608 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553611 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553614 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553618 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553621 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553626 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553629 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553632 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553636 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553639 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553643 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553646 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553649 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553653 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553656 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553659 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553662 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553666 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553669 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553672 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553675 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553678 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553682 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553685 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553688 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553691 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553694 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553698 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553701 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553704 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553707 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553711 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553714 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553717 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553720 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553724 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553727 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553731 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553734 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553737 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553740 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553744 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553747 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553751 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553754 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553758 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553761 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553765 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553768 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553771 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.2.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553775 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553778 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553781 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553784 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553787 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553791 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553794 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553797 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553801 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553804 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553807 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553811 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553814 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553817 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553820 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553824 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553827 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553830 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553833 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553836 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553840 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553843 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553846 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553849 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.2.rlc.nacked:0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553852 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553856 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.553859 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553862 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553865 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553869 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553872 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553876 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553879 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553882 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553885 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553889 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553892 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553895 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553900 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553903 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553906 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553909 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.553912 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553916 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.553919 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553923 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.553927 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553931 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched:0|c 08:56:41.553934 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.553937 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.553941 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.553944 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.553947 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553950 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.553953 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.553957 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553960 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.553964 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.553967 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.553970 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.553973 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.553977 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.553980 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553983 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.553986 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.553989 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.553993 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.553996 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.553999 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.554002 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.554006 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.554009 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.554012 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.554016 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.554019 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.554022 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554026 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.554029 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } 08:56:41.554031 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.554035 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.554076 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:41.554085 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.554107 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.3.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.3.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.3.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.2.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.2.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.2.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.2.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit } } } id 3 08:56:41.554116 520 StatsD_Checker.ttcnpp:325 Message with id 3 was extracted from the queue of STATS. 08:56:41.554179 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E312E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373363A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E312E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E312E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E312E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373313A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373323A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373333A307C630A5454434E332E7462662E677072732E302E677072732E75706C696E6B2E6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373313A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373323A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373333A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373343A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373353A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373363A307C63'O ("TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c") } id 4 08:56:41.554190 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.1.rlc.nacked:0|c\nTTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c\nTTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c" 08:56:41.554212 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554216 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554220 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.554223 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554228 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554231 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554234 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554238 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554241 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554245 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554249 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554252 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554256 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554259 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554263 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554266 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554269 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554273 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554277 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554280 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554284 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554287 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554291 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554294 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554304 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554310 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554315 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.554320 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554325 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554328 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554332 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554335 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554339 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554342 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554346 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554349 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554352 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554356 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554359 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554363 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554367 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554370 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554373 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554377 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554380 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554384 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554387 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554390 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554394 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554398 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554401 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.554405 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554408 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554415 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554418 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554422 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554425 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554428 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554432 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554436 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554439 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554442 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554447 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554451 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554454 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554458 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554461 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554464 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554468 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554471 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554474 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554478 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.1.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554482 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554486 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.554489 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554493 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554496 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554499 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554503 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554506 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554510 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554513 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554517 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554520 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554523 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554527 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554531 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554535 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554538 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554541 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554545 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554548 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554551 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554555 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554558 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554561 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554567 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554570 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.554574 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554577 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554580 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554584 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554587 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554591 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554594 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554597 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554601 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554604 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554608 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554611 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554616 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554619 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554622 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554626 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554629 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554632 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554636 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554639 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554643 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554646 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554650 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554654 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.554657 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554661 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554664 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554668 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554671 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554674 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554678 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554681 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554685 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554688 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554692 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554695 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554698 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554702 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554705 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554709 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554713 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554716 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554720 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554723 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554726 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554730 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554733 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554736 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.554740 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554744 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554748 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554751 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554755 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554758 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554761 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554765 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554768 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554772 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554775 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554778 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554782 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554785 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554788 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554792 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554795 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554800 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554803 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554806 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554810 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554813 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554816 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554820 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.554823 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554826 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554830 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554833 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554837 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554841 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554845 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554848 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554852 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554855 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554859 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554862 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554865 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554869 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554872 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554875 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554879 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554882 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554885 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554890 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554894 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554897 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554900 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554904 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.554907 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554911 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554914 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554917 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554921 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.554924 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554928 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554931 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554935 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.554938 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.554941 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554945 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554949 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.554953 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.554956 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554960 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.554963 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554966 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.554970 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554973 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.554976 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.554980 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs6:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554983 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.554986 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.554990 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.554994 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.554998 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555001 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555004 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555008 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555011 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555015 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555018 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555022 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555025 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555028 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555032 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555035 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555038 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555042 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555045 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555048 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555052 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555057 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555060 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555064 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555067 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555070 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555074 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555077 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555080 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555084 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555087 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555091 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555094 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555098 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555101 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555105 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555108 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555111 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555116 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555119 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555122 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555126 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555129 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555133 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555136 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555139 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555143 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555146 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555149 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555153 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555156 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555159 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555163 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555166 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555171 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555174 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555177 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555181 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555184 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555188 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555191 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555194 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555198 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555201 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555204 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555208 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555211 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555215 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555218 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555221 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555225 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555228 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.1.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555233 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555236 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555239 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555243 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555246 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555249 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555253 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555256 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555260 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555263 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555267 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555270 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555273 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555277 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555280 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555283 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555287 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555290 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555293 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555298 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555301 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555304 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555308 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555311 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.1.rlc.nacked:0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555314 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555318 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.555321 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555324 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555328 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555331 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555334 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555338 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555341 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555345 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555348 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555352 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555355 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555359 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555364 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555367 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555371 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555374 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555377 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555381 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555384 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555387 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555391 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555394 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555397 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555401 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555404 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555407 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555414 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555417 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555421 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555424 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555428 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555432 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555436 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555439 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555443 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555446 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555449 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555452 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555456 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555459 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555462 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555466 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555469 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555473 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555476 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs1:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555479 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555482 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.555486 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555489 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555492 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555496 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555499 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555503 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555507 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555510 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555514 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555517 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555520 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555524 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555528 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555532 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555535 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555538 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555542 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555545 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555548 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555551 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555555 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555558 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs2:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555561 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555565 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.555568 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555571 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555574 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555578 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555582 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555586 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555589 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555593 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555596 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555599 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555602 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555606 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555609 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555612 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555615 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555619 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555622 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555625 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555628 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555632 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555635 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555638 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs3:0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555641 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555644 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.555648 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555651 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555654 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555657 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555662 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555665 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555668 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555672 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555675 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555679 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555682 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555685 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555688 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555691 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555694 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555698 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555701 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555704 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555707 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555711 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555714 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555717 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.gprs.0.gprs.uplink.cs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555720 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555724 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.555727 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555730 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555733 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555737 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555740 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555744 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555747 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555750 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555754 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555758 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555761 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555764 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555767 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555771 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555774 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555777 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555780 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555784 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555787 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555790 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555794 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555797 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs1:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555800 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555804 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555807 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555810 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555814 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555817 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555820 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555824 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555827 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555831 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555834 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555838 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555841 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555844 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555847 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555850 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555855 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555858 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555861 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555864 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555868 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555871 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555875 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555878 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs2:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555881 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555884 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555888 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555891 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555894 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555898 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555901 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555905 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555908 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555912 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555915 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.555918 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555922 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555926 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555929 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.555933 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555936 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555939 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.555943 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555946 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.555949 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555952 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.555956 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555959 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs3:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555963 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.555967 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.555970 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555973 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.555976 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.555980 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555983 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.555987 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.555990 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.555993 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.555997 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556000 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556003 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556006 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556010 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556013 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556016 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556019 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556023 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556026 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556029 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556033 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556036 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556039 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs4:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556042 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556046 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556049 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556052 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556055 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556059 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556062 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556065 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556068 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556072 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556075 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556079 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556082 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556085 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556088 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556091 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556095 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556098 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556102 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556105 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556109 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556112 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556115 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556118 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs5:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556121 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556124 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556128 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556131 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556134 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556138 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556141 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556144 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556147 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556151 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556154 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556158 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556161 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556164 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556167 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556170 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556174 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556177 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556180 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556183 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556186 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556190 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556193 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556196 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs6:0|c 08:56:41.556199 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556202 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556206 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.556209 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556212 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556216 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.556219 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556222 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556225 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556229 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.556232 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556235 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556239 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556242 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.556245 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556248 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.556251 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.556254 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.556258 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.556261 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556264 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.556267 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.556270 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.556273 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.556277 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.556281 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.556284 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.556287 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556291 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.556294 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } 08:56:41.556341 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:41.556351 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.556373 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.1.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.1.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.1.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.1.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.gprs.0.gprs.uplink.cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs6", val := 0, mtype := "c", srate := omit } } } id 4 08:56:41.556381 520 StatsD_Checker.ttcnpp:325 Message with id 4 was extracted from the queue of STATS. 08:56:41.556442 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373373A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373383A307C630A5454434E332E7462662E65677072732E302E65677072732E75706C696E6B2E6D6373393A307C630A5454434E332E7063752E7462662E302E726C632E6E61636B65643A307C630A5454434E332E7063752E6D732E302E6D732E646C5F6374726C5F6D73675F73636865643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E7061636B6574732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E62797465732E696E3A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E62797465732E6F75743A31327C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E756E626C6F636B65643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E646561643A307C63'O ("TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c") } id 5 08:56:41.556452 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c\nTTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c\nTTCN3.pcu.tbf.0.rlc.nacked:0|c\nTTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c" 08:56:41.556474 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs7:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556478 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556482 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556485 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556488 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556492 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556495 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556499 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556503 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556506 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556510 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556513 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556517 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556520 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556523 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556528 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556531 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556534 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556538 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556541 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556544 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556548 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556551 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556554 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556558 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs8:0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556563 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556566 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556570 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556573 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556576 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556580 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556583 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556586 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556590 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556593 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556596 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556601 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556604 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556608 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556611 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556614 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556617 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556621 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556624 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556627 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556631 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556634 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556638 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556642 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.tbf.egprs.0.egprs.uplink.mcs9:0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556645 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556649 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556652 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556655 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556659 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556662 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556666 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556669 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556672 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556676 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556679 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556683 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556687 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556691 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556694 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556697 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556701 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556704 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556707 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556711 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556714 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556717 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556721 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556724 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.tbf.0.rlc.nacked:0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556731 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556735 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.556738 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556741 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556745 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556748 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556751 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556755 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556758 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556762 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556765 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556769 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556772 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556775 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556780 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556783 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556786 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556790 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556793 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556796 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556800 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556803 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556806 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556809 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556814 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556817 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.556821 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556824 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556827 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556831 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556834 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556838 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556841 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556844 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556848 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556851 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556854 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556858 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556861 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556864 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556869 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556872 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556875 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556879 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556882 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556885 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556889 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556892 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556895 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556899 520 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:41.556902 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556907 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556910 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556914 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556917 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.556920 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556924 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556927 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556931 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.556934 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.556937 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556941 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556944 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.556947 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.556951 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556954 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.556957 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556962 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.556965 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556969 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.556972 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556975 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out:1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556979 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.556982 520 StatsD_CodecPort.ttcn:36 match_first result: 77 08:56:41.556985 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556989 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.556992 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.556995 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.556999 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557002 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557005 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557009 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557014 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557017 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557021 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557024 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557027 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557031 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557034 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557037 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557041 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557044 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557047 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557051 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557054 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557057 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557061 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557064 520 StatsD_CodecPort.ttcn:36 match_first result: 82 08:56:41.557068 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557072 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557076 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557079 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557082 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557086 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557089 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557093 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557096 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557100 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557103 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557106 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557110 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557113 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557116 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557120 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557123 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557126 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557130 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557134 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557137 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557141 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557144 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557148 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:41.557151 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557154 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557157 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557161 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557164 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557168 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557171 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557175 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557178 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557182 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557185 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557188 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557192 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557195 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557198 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557203 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557206 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557209 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557212 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557216 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557219 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557222 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out:12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557225 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557229 520 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:41.557232 520 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557235 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557238 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557242 520 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557245 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557249 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557252 520 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:41.557255 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557259 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557262 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557265 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557268 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557272 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557275 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557279 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557282 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557286 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557289 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557292 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557296 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557299 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557302 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557306 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557309 520 StatsD_CodecPort.ttcn:36 match_first result: 80 08:56:41.557312 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557316 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557319 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557323 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557326 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557330 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557333 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557336 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557340 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557343 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557346 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557350 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557353 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557356 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557360 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557363 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557368 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557371 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557374 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557378 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557381 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557384 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557388 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557391 520 StatsD_CodecPort.ttcn:36 match_first result: 73 08:56:41.557394 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557398 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557401 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557405 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557408 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557411 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557415 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557418 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557421 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557425 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557428 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557431 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557436 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557440 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557443 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557446 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557450 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557453 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557456 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557460 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557463 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557466 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557469 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557473 520 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:41.557476 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557480 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557484 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557487 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557490 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557494 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557497 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557500 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557504 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557507 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557510 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557514 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557517 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557520 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557523 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557527 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557530 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557533 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557536 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557539 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557543 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557546 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead:0|c 08:56:41.557549 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557552 520 StatsD_CodecPort.ttcn:36 match_first result: 70 08:56:41.557556 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.557559 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557562 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557565 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.557568 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557572 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557575 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557579 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.557582 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557585 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557588 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557592 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.557595 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557598 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.557601 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.557604 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.557607 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.557611 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557614 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.557617 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.557620 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.557623 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.557626 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.557630 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.557633 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.557636 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557639 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.557643 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead", val := 0, mtype := "c", srate := omit } } 08:56:41.557683 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead", val := 0, mtype := "c", srate := omit } } } id 5 08:56:41.557690 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.557710 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.tbf.egprs.0.egprs.uplink.mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.tbf.0.rlc.nacked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.ms.0.ms.dl_ctrl_msg_sched", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.dead", val := 0, mtype := "c", srate := omit } } } id 5 08:56:41.557718 520 StatsD_Checker.ttcnpp:325 Message with id 5 was extracted from the queue of STATS. 08:56:41.557769 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E7265706C616365643A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E6E7365692D6368673A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E696E762D6E737663693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E696E762D6E7365693A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E6C6F73742E72657365743A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E696E3A307C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75743A317C630A5454434E332E6E732E6E73652E313233342E7061636B6574732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E62797465732E696E3A307C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75743A31327C630A5454434E332E6E732E6E73652E313233342E62797465732E6F75742E64726F703A307C630A5454434E332E6E732E6E73652E313233342E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E756E626C6F636B65643A307C630A5454434E332E6E732E6E73652E313233342E646561643A307C630A5454434E332E6E732E6E73652E313233342E7265706C616365643A307C630A5454434E332E6E732E6E73652E313233342E6E7365692D6368673A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E737663693A307C630A5454434E332E6E732E6E73652E313233342E696E762D6E7365693A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E616C6976653A307C630A5454434E332E6E732E6E73652E313233342E6C6F73742E72657365743A307C63'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.replaced:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c") } id 6 08:56:41.557779 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.replaced:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c\nTTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c\nTTCN3.ns.nse.1234.packets.in:0|c\nTTCN3.ns.nse.1234.packets.out:1|c\nTTCN3.ns.nse.1234.packets.out.drop:0|c\nTTCN3.ns.nse.1234.bytes.in:0|c\nTTCN3.ns.nse.1234.bytes.out:12|c\nTTCN3.ns.nse.1234.bytes.out.drop:0|c\nTTCN3.ns.nse.1234.blocked:0|c\nTTCN3.ns.nse.1234.unblocked:0|c\nTTCN3.ns.nse.1234.dead:0|c\nTTCN3.ns.nse.1234.replaced:0|c\nTTCN3.ns.nse.1234.nsei-chg:0|c\nTTCN3.ns.nse.1234.inv-nsvci:0|c\nTTCN3.ns.nse.1234.inv-nsei:0|c\nTTCN3.ns.nse.1234.lost.alive:0|c\nTTCN3.ns.nse.1234.lost.reset:0|c" 08:56:41.557801 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.replaced:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557804 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557808 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:41.557811 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557814 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557818 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557821 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557826 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557829 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557832 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557836 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557839 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557843 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557846 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557850 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557853 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557856 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557859 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557863 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557866 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557871 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557874 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557878 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557881 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557884 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557888 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557891 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:41.557894 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557898 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557901 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557904 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557909 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557912 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557915 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557919 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557922 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.557926 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557929 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557932 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557936 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.557939 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.557942 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557946 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.557949 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557953 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.557957 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557960 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.557963 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557967 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557970 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.557973 520 StatsD_CodecPort.ttcn:36 match_first result: 75 08:56:41.557977 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557980 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.557983 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.557987 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.557991 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.557995 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.557998 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558001 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558005 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558008 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558011 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558015 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558018 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558021 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558025 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558028 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558031 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558036 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558039 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558043 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558046 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558049 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558053 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558056 520 StatsD_CodecPort.ttcn:36 match_first result: 74 08:56:41.558059 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558063 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558066 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558069 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558073 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558076 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558080 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558083 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558088 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558092 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558095 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558098 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558101 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558105 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558108 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558111 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558115 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558118 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558122 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558125 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558128 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558132 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive:0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558136 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558139 520 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:41.558143 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558146 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558150 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558153 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558156 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558160 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558163 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558167 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558170 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558174 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558177 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558180 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558184 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558187 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558190 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558195 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558198 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558202 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558205 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558208 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558212 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558215 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset:0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558218 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558222 520 StatsD_CodecPort.ttcn:36 match_first result: 76 08:56:41.558225 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558229 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558232 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558236 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558239 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558243 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558246 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558249 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558254 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558258 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558261 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558264 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558267 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558271 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558274 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558278 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558281 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558284 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558287 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558291 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558294 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558301 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.in:0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558307 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558312 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.558318 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558324 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558327 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558331 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558334 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558338 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558341 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558344 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558348 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558351 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558355 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558358 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558361 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558364 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558368 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558371 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558374 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558378 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558381 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558386 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558389 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558393 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out:1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558396 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558399 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.558403 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558406 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558409 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558413 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558416 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558420 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558423 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558427 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558430 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558434 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558437 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558440 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558443 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558447 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558450 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558453 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558456 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558461 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558464 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558467 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558471 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558474 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.packets.out.drop:0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558477 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558480 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:41.558483 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558487 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558490 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558493 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558496 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558500 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558503 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558507 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558510 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558513 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558517 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558520 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558523 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558562 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558565 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558569 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558572 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558575 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558578 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558582 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558585 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558589 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.in:0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558592 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558595 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.558599 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558602 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558606 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558609 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558612 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558616 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558619 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558623 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558626 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558630 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558633 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558636 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558641 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558644 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558648 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558651 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558654 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558654 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.558658 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558659 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.558661 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558665 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558668 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558671 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out:12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558675 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558678 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.558681 520 StatsD_CodecPort.ttcn:36 match_begin data: :12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558685 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558688 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558692 520 StatsD_CodecPort.ttcn:36 match_first data: 12|c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558695 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558698 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558701 520 StatsD_CodecPort.ttcn:36 match_first result: 2 08:56:41.558705 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558708 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558712 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558717 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558720 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558723 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558727 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558730 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558733 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558736 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558740 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558743 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558746 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558750 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558753 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.bytes.out.drop:0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558756 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558759 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.558763 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558766 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558769 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558773 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558777 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558781 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558785 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558788 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558791 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558795 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558798 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558802 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558806 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558810 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558813 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558816 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558819 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558823 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558826 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558829 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558833 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558836 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.blocked:0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558839 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558842 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.558846 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558849 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558852 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558856 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558859 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558862 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558866 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558869 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558872 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558876 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558879 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558882 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558886 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558889 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558892 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558896 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558900 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558903 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558906 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558909 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558913 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558916 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.unblocked:0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558919 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.558922 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.558925 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558929 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.558932 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558935 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558938 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.558942 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558945 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558948 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558952 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.558955 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.558958 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558961 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558965 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.558968 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.558971 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558974 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.558978 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558981 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.558984 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558988 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.558992 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.558995 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.dead:0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.558998 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559001 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:41.559004 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559008 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559011 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559014 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559017 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559021 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559024 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559027 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559031 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559034 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559037 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559040 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559044 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559047 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559050 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559053 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559056 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559060 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559063 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559066 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559070 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559073 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.replaced:0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559076 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559080 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.559083 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559087 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559091 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559094 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559098 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559101 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559104 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559108 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559111 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559115 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559118 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559121 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559124 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559128 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559131 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559134 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559137 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559141 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559144 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559147 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559151 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559154 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.nsei-chg:0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559157 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559161 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.559164 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559168 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559171 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559174 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559178 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559181 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559184 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559188 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559191 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559195 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559198 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559201 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559205 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559208 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559211 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559217 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559220 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559224 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559227 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559230 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559234 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559237 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsvci:0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559240 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559243 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.559247 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559250 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559253 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559257 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559260 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559263 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559267 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559270 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559273 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559277 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559280 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559283 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559287 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559290 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559293 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559296 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559300 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559303 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559306 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559309 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559313 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559316 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.inv-nsei:0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559319 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559322 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.559326 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559329 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559332 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559335 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559338 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559342 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559345 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559348 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559353 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559356 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559360 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559363 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559366 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559369 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559372 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559375 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559379 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559382 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559385 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559388 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559392 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559395 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.alive:0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559398 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559401 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.559404 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559407 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559414 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559417 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559421 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559424 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559427 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559430 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559434 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559437 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559440 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559443 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559446 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559450 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559453 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559456 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559459 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559462 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559465 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559469 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559472 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nse.1234.lost.reset:0|c 08:56:41.559475 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559478 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.559481 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.559484 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559488 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559491 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.559494 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559498 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559501 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559504 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.559507 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559511 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559514 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559517 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.559520 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559524 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.559528 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.559531 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.559534 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.559537 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559541 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.559544 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.559547 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.559550 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.559553 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.559557 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.559560 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.559563 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559566 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.559569 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } 08:56:41.559614 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } } id 6 08:56:41.559624 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.559646 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.lost.reset", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.packets.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out", val := 12, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.bytes.out.drop", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.unblocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.dead", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.replaced", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.nsei-chg", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsvci", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.inv-nsei", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.alive", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.ns.nse.1234.lost.reset", val := 0, mtype := "c", srate := omit } } } id 6 08:56:41.559653 520 StatsD_Checker.ttcnpp:325 Message with id 6 was extracted from the queue of STATS. 08:56:41.559712 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E7063752E7367736E2E302E72785F706167696E675F63733A307C630A5454434E332E7063752E7367736E2E302E72785F706167696E675F70733A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E7061636B6574732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E696E3A307C630A5454434E332E62737367702E6273735F6374782E313233342E62797465732E6F75743A307C630A5454434E332E62737367702E6273735F6374782E313233342E626C6F636B65643A307C630A5454434E332E62737367702E6273735F6374782E313233342E6469736361726465643A307C630A5454434E332E62737367702E6273735F6374782E313233342E7374617475733A307C630A5454434E332E6274732E302E706463682E616C6C5F616C6C6F63617465643A307C630A5454434E332E6274732E302E7462662E646C2E616C6C6F633A307C630A5454434E332E6274732E302E7462662E646C2E66726565643A307C630A5454434E332E6274732E302E7462662E646C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E756C2E616C6C6F633A377C630A5454434E332E6274732E302E7462662E756C2E66726565643A307C630A5454434E332E6274732E302E7462662E756C2E61626F727465643A307C630A5454434E332E6274732E302E7462662E7265757365643A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D613A307C630A5454434E332E6274732E302E7462662E616C6C6F632E616C676F2D623A377C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65643A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7466693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F7573663A317C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F636F6D62693A307C630A5454434E332E6274732E302E7462662E616C6C6F632E6661696C65642E6E6F5F736C6F745F617661696C3A307C630A5454434E332E6274732E302E726C632E73656E743A307C630A5454434E332E6274732E302E726C632E726573656E743A307C630A5454434E332E6274732E302E726C632E7265737461727465643A307C630A5454434E332E6274732E302E726C632E7374616C6C65643A307C630A5454434E332E6274732E302E726C632E6E61636B65643A307C63'O ("TTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c") } id 7 08:56:41.559723 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.pcu.sgsn.0.rx_paging_cs:0|c\nTTCN3.pcu.sgsn.0.rx_paging_ps:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.in:0|c\nTTCN3.bssgp.bss_ctx.1234.packets.out:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.in:0|c\nTTCN3.bssgp.bss_ctx.1234.bytes.out:0|c\nTTCN3.bssgp.bss_ctx.1234.blocked:0|c\nTTCN3.bssgp.bss_ctx.1234.discarded:0|c\nTTCN3.bssgp.bss_ctx.1234.status:0|c\nTTCN3.bts.0.pdch.all_allocated:0|c\nTTCN3.bts.0.tbf.dl.alloc:0|c\nTTCN3.bts.0.tbf.dl.freed:0|c\nTTCN3.bts.0.tbf.dl.aborted:0|c\nTTCN3.bts.0.tbf.ul.alloc:7|c\nTTCN3.bts.0.tbf.ul.freed:0|c\nTTCN3.bts.0.tbf.ul.aborted:0|c\nTTCN3.bts.0.tbf.reused:0|c\nTTCN3.bts.0.tbf.alloc.algo-a:0|c\nTTCN3.bts.0.tbf.alloc.algo-b:7|c\nTTCN3.bts.0.tbf.alloc.failed:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_usf:1|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c\nTTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c\nTTCN3.bts.0.rlc.sent:0|c\nTTCN3.bts.0.rlc.resent:0|c\nTTCN3.bts.0.rlc.restarted:0|c\nTTCN3.bts.0.rlc.stalled:0|c\nTTCN3.bts.0.rlc.nacked:0|c" 08:56:41.559746 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_cs:0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559751 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559755 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.559758 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559761 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559765 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559768 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559772 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559775 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559778 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559782 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559787 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559790 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559794 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559797 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559800 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559804 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559807 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559811 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559814 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559817 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559821 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559825 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559828 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559832 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.pcu.sgsn.0.rx_paging_ps:0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559835 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559838 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.559841 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559845 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559848 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559851 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559855 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559858 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559862 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559866 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559870 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559873 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559876 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559880 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559883 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559886 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559889 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559893 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559896 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559901 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559904 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559907 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559911 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559914 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.in:0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559917 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.559920 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.559924 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559927 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.559930 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559934 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559938 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.559942 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559945 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559948 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559952 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.559955 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.559958 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559962 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559965 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.559968 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.559972 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559975 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.559978 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559983 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.559986 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559989 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.559993 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.559996 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.packets.out:0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.559999 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560002 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:41.560006 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560009 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560012 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560016 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560020 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560024 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560027 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560030 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560034 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560037 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560040 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560044 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560047 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560050 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560053 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560058 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560061 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560064 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560068 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560071 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560074 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560077 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.in:0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560081 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560084 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:41.560087 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560091 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560094 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560098 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560102 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560105 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560108 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560112 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560115 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560119 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560122 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560125 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560129 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560132 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560135 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560138 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560142 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560146 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560150 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560153 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560156 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560160 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.bytes.out:0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560163 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560166 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:41.560169 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560173 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560176 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560179 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560184 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560187 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560191 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560194 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560197 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560201 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560204 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560207 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560211 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560214 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560217 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560221 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560224 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560228 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560232 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560235 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560239 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560242 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.blocked:0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560246 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560249 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.560252 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560256 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560259 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560262 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560266 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560269 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560274 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560277 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560280 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560284 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560287 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560290 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560294 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560297 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560300 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560304 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560307 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560310 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560314 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560319 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560322 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560325 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.discarded:0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560329 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560332 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:41.560335 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560339 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560342 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560346 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560349 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560353 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560356 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560360 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560363 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560368 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560371 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560374 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560378 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560381 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560384 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560388 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560391 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560394 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560398 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560401 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560404 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560408 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bssgp.bss_ctx.1234.status:0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560412 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560415 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.560419 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560422 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560426 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560429 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560432 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560436 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560439 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560443 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560446 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560450 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560453 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560456 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560459 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560462 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560466 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560470 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560473 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560477 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560480 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560483 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560487 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560490 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.all_allocated:0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560493 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560497 520 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:41.560500 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560503 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560507 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560510 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560515 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560518 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560521 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560525 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560528 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560532 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560535 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560539 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560542 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560545 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560548 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560552 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560555 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560559 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560562 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560567 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560570 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560573 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.alloc:0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560577 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560580 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.560583 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560587 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560591 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560594 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560598 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560601 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560604 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560608 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560611 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560615 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560618 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560621 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560626 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560629 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560633 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560636 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560639 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560643 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560646 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560650 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560653 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560656 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.freed:0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560660 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560663 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.560666 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560670 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560673 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560680 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560684 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560687 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560691 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560694 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560698 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560701 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560705 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560708 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560712 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560715 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560718 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560722 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560725 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560728 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560732 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560736 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560740 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560743 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.dl.aborted:0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560746 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560750 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.560753 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560756 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560760 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560763 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560766 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560770 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560773 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560777 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560780 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560784 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560787 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560790 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560794 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560798 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560801 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560804 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560808 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560811 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560815 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560818 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560821 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560825 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.alloc:7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560828 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560831 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.560835 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560838 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560841 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560845 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560849 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560853 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560856 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560860 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560863 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560867 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560870 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560873 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560877 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560880 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560883 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560887 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560890 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560893 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560897 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560900 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560903 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560907 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.freed:0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560911 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560914 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.560918 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560921 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.560925 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560928 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560931 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.560935 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560938 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560942 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560945 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.560948 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.560952 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560955 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560958 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.560962 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.560965 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560968 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.560971 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560976 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.560979 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560983 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.560986 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.560989 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.ul.aborted:0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.560993 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.560996 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.561000 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561003 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561007 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561010 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561014 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561017 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561020 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561024 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561027 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561031 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561034 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561037 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561040 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561044 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561047 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561051 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561055 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561058 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561061 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561065 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561068 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561071 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.reused:0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561074 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561078 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:41.561081 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561084 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561087 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561091 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561094 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561097 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561101 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561104 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561107 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561112 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561115 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561118 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561122 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561125 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561128 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561131 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561135 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561138 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561141 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561145 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561148 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561151 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-a:0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561155 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561158 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.561162 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561165 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561168 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561172 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561175 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561180 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561184 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561187 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561190 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561194 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561197 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561201 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561204 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561207 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561211 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561214 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561217 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561220 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561224 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561227 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561230 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561234 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.algo-b:7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561237 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561240 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.561243 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561247 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561250 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561254 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561258 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561261 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561265 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561268 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561272 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561275 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561279 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561282 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561286 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561290 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561293 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561296 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561299 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561303 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561306 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561309 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561313 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561316 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed:1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561319 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561322 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.561326 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561330 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561333 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561337 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561340 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561343 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561347 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561350 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561353 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561357 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561360 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561363 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561366 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561369 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561373 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561376 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561379 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561382 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561386 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561389 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561392 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561395 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_tfi:0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561398 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561402 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.561405 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561408 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561411 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561416 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561419 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561422 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561426 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561429 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561432 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561436 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561439 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561442 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561445 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561449 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561452 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561455 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561458 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561461 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561465 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561468 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561471 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561474 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_usf:1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561477 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561481 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.561484 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561487 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561490 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561494 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561497 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561501 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561505 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561508 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561512 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561515 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561518 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561522 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561525 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561528 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561531 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561534 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561537 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561541 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561544 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561547 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561550 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561554 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_combi:0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561557 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561560 520 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:41.561563 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561567 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561570 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561573 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561576 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561580 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561583 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561587 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561590 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561593 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561596 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561600 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561604 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561607 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561610 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561614 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561617 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561620 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561623 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561627 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561630 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561633 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.tbf.alloc.failed.no_slot_avail:0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561637 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561640 520 StatsD_CodecPort.ttcn:36 match_first result: 42 08:56:41.561643 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561647 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561650 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561653 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561657 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561660 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561663 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561667 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561670 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561674 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561677 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561680 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561683 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561687 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561690 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561693 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561696 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561700 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561703 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561706 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561710 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561713 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent:0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561716 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561720 520 StatsD_CodecPort.ttcn:36 match_first result: 20 08:56:41.561724 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561728 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561731 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561734 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561739 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561742 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561746 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561749 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561752 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561756 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561759 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561762 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561766 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561769 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561772 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561776 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561779 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561782 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561785 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561789 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561792 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561795 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.resent:0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561798 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561802 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:41.561805 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561808 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561811 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561815 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561818 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561821 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561825 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561828 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561831 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561835 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561838 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561841 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561844 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561848 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561851 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561854 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561857 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561862 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561865 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561868 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561871 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561874 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.restarted:0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561878 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561881 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.561884 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561887 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561890 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561894 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561897 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561901 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561904 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561907 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561910 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561914 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561917 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561920 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561923 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.561927 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561930 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561933 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.561936 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561939 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.561943 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561946 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.561949 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561952 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.stalled:0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561955 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.561959 520 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:41.561962 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561965 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.561968 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561972 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561975 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.561978 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561981 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.561985 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.561988 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.561992 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.561995 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.561998 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.562001 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562004 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562008 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.nacked:0|c 08:56:41.562011 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562014 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.nacked:0|c 08:56:41.562017 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562020 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.nacked:0|c 08:56:41.562023 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562028 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562031 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.nacked:0|c 08:56:41.562034 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562037 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:41.562040 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.562043 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562047 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562050 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.562053 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562057 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562060 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562063 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.562066 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562070 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562073 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562076 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.562079 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562083 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.562086 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.562089 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.562092 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.562095 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562099 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.562102 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.562105 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.562108 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.562111 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.562115 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.562118 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.562121 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562124 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.562128 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } 08:56:41.562177 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } } id 7 08:56:41.562186 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.562209 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.pcu.sgsn.0.rx_paging_cs", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.pcu.sgsn.0.rx_paging_ps", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.packets.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.in", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.bytes.out", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.blocked", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.discarded", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bssgp.bss_ctx.1234.status", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pdch.all_allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.alloc", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.dl.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.alloc", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.ul.aborted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.reused", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-a", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.algo-b", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_tfi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_usf", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_combi", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.tbf.alloc.failed.no_slot_avail", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.restarted", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.stalled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.nacked", val := 0, mtype := "c", srate := omit } } } id 7 08:56:41.562218 520 StatsD_Checker.ttcnpp:325 Message with id 7 was extracted from the queue of STATS. 08:56:41.562283 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E726C632E66696E616C5F626C6F636B5F726573656E743A307C630A5454434E332E6274732E302E726C632E6173732E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6173732E6661696C65643A307C630A5454434E332E6274732E302E726C632E61636B2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E61636B2E6661696C65643A307C630A5454434E332E6274732E302E726C632E72656C2E74696D65646F75743A307C630A5454434E332E6274732E302E726C632E6C6174652D626C6F636B3A307C630A5454434E332E6274732E302E726C632E73656E742D64756D6D793A307C630A5454434E332E6274732E302E726C632E73656E742D636F6E74726F6C3A307C630A5454434E332E6274732E302E726C632E646C5F62797465733A307C630A5454434E332E6274732E302E726C632E646C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F62797465733A307C630A5454434E332E6274732E302E726C632E756C5F7061796C6F61645F62797465733A307C630A5454434E332E6274732E302E6465636F64652E6572726F72733A307C630A5454434E332E6274732E302E7362612E616C6C6F63617465643A307C630A5454434E332E6274732E302E7362612E66726565643A307C630A5454434E332E6274732E302E7362612E74696D65646F75743A307C630A5454434E332E6274732E302E6C6C632E74696D656F75743A307C630A5454434E332E6274732E302E6C6C632E64726F707065643A307C630A5454434E332E6274732E302E6C6C632E7363686564756C65643A307C630A5454434E332E6274732E302E6C6C632E646C5F62797465733A307C630A5454434E332E6274732E302E6C6C632E756C5F62797465733A307C630A5454434E332E6274732E302E7063682E72657175657374733A307C630A5454434E332E6274732E302E7063682E72657175657374732E616C72656164793A307C630A5454434E332E6274732E302E7063682E72657175657374732E74696D656F75743A307C630A5454434E332E6274732E302E726163682E72657175657374733A387C630A5454434E332E6274732E302E726163682E72657175657374732E31316269743A387C630A5454434E332E6274732E302E726163682E72657175657374732E6F6E655F70686173653A387C630A5454434E332E6274732E302E726163682E72657175657374732E74776F5F70686173653A307C630A5454434E332E6274732E302E726163682E72657175657374732E756E65787065637465643A307C63'O ("TTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c\nTTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c") } id 8 08:56:41.562294 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.rlc.final_block_resent:0|c\nTTCN3.bts.0.rlc.ass.timedout:0|c\nTTCN3.bts.0.rlc.ass.failed:0|c\nTTCN3.bts.0.rlc.ack.timedout:0|c\nTTCN3.bts.0.rlc.ack.failed:0|c\nTTCN3.bts.0.rlc.rel.timedout:0|c\nTTCN3.bts.0.rlc.late-block:0|c\nTTCN3.bts.0.rlc.sent-dummy:0|c\nTTCN3.bts.0.rlc.sent-control:0|c\nTTCN3.bts.0.rlc.dl_bytes:0|c\nTTCN3.bts.0.rlc.dl_payload_bytes:0|c\nTTCN3.bts.0.rlc.ul_bytes:0|c\nTTCN3.bts.0.rlc.ul_payload_bytes:0|c\nTTCN3.bts.0.decode.errors:0|c\nTTCN3.bts.0.sba.allocated:0|c\nTTCN3.bts.0.sba.freed:0|c\nTTCN3.bts.0.sba.timedout:0|c\nTTCN3.bts.0.llc.timeout:0|c\nTTCN3.bts.0.llc.dropped:0|c\nTTCN3.bts.0.llc.scheduled:0|c\nTTCN3.bts.0.llc.dl_bytes:0|c\nTTCN3.bts.0.llc.ul_bytes:0|c\nTTCN3.bts.0.pch.requests:0|c\nTTCN3.bts.0.pch.requests.already:0|c\nTTCN3.bts.0.pch.requests.timeout:0|c\nTTCN3.bts.0.rach.requests:8|c\nTTCN3.bts.0.rach.requests.11bit:8|c\nTTCN3.bts.0.rach.requests.one_phase:8|c\nTTCN3.bts.0.rach.requests.two_phase:0|c\nTTCN3.bts.0.rach.requests.unexpected:0|c" 08:56:41.562324 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.final_block_resent:0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562328 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562332 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:41.562335 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562340 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562343 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562347 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562350 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562354 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562357 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562361 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562364 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562368 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562371 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562375 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562378 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562381 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562385 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562390 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562393 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562397 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562400 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562404 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562407 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562410 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.timedout:0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562414 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562418 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.562422 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562425 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562428 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562432 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562435 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562439 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562442 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562446 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562449 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562453 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562456 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562459 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562464 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562467 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562470 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562474 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562477 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562480 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562484 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562487 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562490 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562494 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ass.failed:0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562498 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562501 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.562505 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562508 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562511 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562515 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562518 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562522 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562525 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562528 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562532 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562535 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562539 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562542 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562546 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562550 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562553 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562556 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562560 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562563 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562567 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562570 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562573 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562577 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.timedout:0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562582 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562585 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.562589 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562592 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562595 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562599 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562602 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562606 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562609 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562612 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562616 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562621 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562624 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562627 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562630 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562634 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562637 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562640 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562644 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562647 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562650 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562654 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562657 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562661 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ack.failed:0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562664 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562668 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.562671 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562674 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562678 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562681 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562684 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562688 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562691 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562695 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562698 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562703 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562706 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562709 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562712 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562716 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562719 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562722 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562725 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562729 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562732 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562735 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562739 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562742 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.rel.timedout:0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562746 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562750 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.562753 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562756 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562759 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562763 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562766 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562770 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562773 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562777 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562780 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562784 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562787 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562790 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562795 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562798 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562801 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562805 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562808 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562811 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562815 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562818 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562821 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562825 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.late-block:0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562829 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562832 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.562835 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562839 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562842 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562845 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562849 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562852 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562855 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562859 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562862 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562866 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562869 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562872 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562877 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562880 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562883 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562887 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562890 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562893 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562897 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562900 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562903 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562906 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-dummy:0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562910 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562913 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.562916 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562920 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.562924 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562927 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562930 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.562934 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562937 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562941 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562944 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.562948 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.562951 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562954 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562957 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.562961 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.562964 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562968 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.562972 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562975 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.562978 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562982 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.562985 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.562988 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.sent-control:0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.562991 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.562995 520 StatsD_CodecPort.ttcn:36 match_first result: 28 08:56:41.562998 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563001 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563004 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563008 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563013 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563016 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563019 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563023 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563026 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563030 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563033 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563036 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563040 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563043 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563046 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563049 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563053 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563056 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563061 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563064 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563068 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563071 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_bytes:0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563074 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563077 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.563080 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563084 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563087 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563090 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563094 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563097 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563100 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563104 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563108 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563112 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563115 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563118 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563122 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563125 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563128 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563131 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563135 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563138 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563141 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563145 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563148 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563151 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.dl_payload_bytes:0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563156 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563159 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.563162 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563165 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563169 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563172 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563175 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563179 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563182 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563185 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563189 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563192 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563195 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563199 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563202 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563205 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563208 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563213 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563216 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563220 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563223 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563226 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563230 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563233 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_bytes:0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563236 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563239 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.563243 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563246 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563249 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563253 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563257 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563261 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563264 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563267 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563271 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563274 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563277 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563278 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.563280 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563284 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563284 521 PCUIF_Components.ttcn:241 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } 08:56:41.563288 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563289 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.563291 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563295 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563298 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563301 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563305 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563308 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563311 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563315 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rlc.ul_payload_bytes:0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563319 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563323 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.563326 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563329 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563333 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563336 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563340 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563343 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563344 519 PCUIF_Components.ttcn:505 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } id 11 08:56:41.563347 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563350 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563354 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563358 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563362 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563365 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563369 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563372 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563375 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563380 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563384 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563385 519 PCUIF_Components.ttcn:444 Matching on port CLCK TDMA_EV_PDTCH_BLOCK_END (4) with TDMA_EV_PDTCH_BLOCK_BEG (3) unmatched: First message in the queue does not match the template: 08:56:41.563387 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563391 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563393 519 PCUIF_Components.ttcn:460 Matching on port CLCK succeeded: matched 08:56:41.563395 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563398 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563399 519 PCUIF_Components.ttcn:460 Receive operation on port CLCK succeeded, message from ClckGen-0(521): @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_END (4), data := { tdma_fn := 20 } } id 11 08:56:41.563402 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.decode.errors:0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563404 519 PCUIF_Components.ttcn:460 Message with id 11 was extracted from the queue of CLCK. 08:56:41.563405 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563409 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.563412 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563416 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563419 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563420 519 PCUIF_Components.ttcn:428 Sent on PCUIF to PCUIF(518) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.563423 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563427 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563430 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563434 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563437 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563442 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563446 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563449 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563452 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563456 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563459 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563462 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563466 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563469 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563473 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563476 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563479 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563483 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563486 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.allocated:0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563489 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563493 518 PCUIF_Components.ttcn:653 Message enqueued on BTS from BTS(519) @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 20 08:56:41.563496 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.563501 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563508 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563511 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563515 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563519 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563521 518 PCUIF_Components.ttcn:678 Matching on port BTS succeeded: matched 08:56:41.563522 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563526 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563529 518 PCUIF_Components.ttcn:678 Receive operation on port BTS succeeded, message from BTS(519): @PCUIF_Types.PCUIF_Message : { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } id 20 08:56:41.563530 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563533 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563534 518 PCUIF_Components.ttcn:678 Message with id 20 was extracted from the queue of BTS. 08:56:41.563537 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563541 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563544 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563547 518 PCUIF_Components.ttcn:679 Sent on PCU to system @PCUIF_CodecPort.PCUIF_send_data : { data := { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } }, id := 0 } 08:56:41.563547 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563552 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563554 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Encoding @PCUIF_Types.PCUIF_Message: { msg_type := PCU_IF_MSG_DATA_IND (2), bts_nr := 0, spare := '0000'O, u := { data_ind := { sapi := PCU_IF_SAPI_PDTCH (5), len := 0, data := ''O, fn := 17, arfcn := 871, trx_nr := 0, ts_nr := 7, block_nr := 0, rssi := -80, ber10k := 0, ta_offs_qbits := 0, lqual_cb := 10 } } } 08:56:41.563555 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563559 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563563 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563566 518 PCUIF_CodecPort.ttcn:27 enc_PCUIF_Message(): Stream after encoding: '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O 08:56:41.563567 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563571 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563574 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563577 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563581 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.freed:0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563584 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563588 520 StatsD_CodecPort.ttcn:36 match_first result: 21 08:56:41.563591 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563594 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563598 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563601 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563605 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563609 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563612 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563616 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563619 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563623 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563626 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563629 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563633 518 PCUIF_Components.ttcn:679 Outgoing message was mapped to @UD_Types.UD_send_data : { data := '020000000500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000006703000700D0000000000A0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000'O, id := 0 } 08:56:41.563634 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563638 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563641 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563645 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563648 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563651 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563655 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563658 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563662 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563665 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.sba.timedout:0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563668 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563672 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.563675 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563678 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563682 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563685 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563689 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563692 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563696 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563699 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563704 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563708 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563711 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563714 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563718 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563721 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563724 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563728 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563731 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563734 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563738 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563741 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563744 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563747 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.timeout:0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563751 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563754 520 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:41.563757 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563762 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563765 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563770 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563773 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563776 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563780 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563783 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563786 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563790 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563793 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563796 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563799 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563802 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563806 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563809 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563812 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563815 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563819 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563822 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563826 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563829 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dropped:0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563833 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563837 520 StatsD_CodecPort.ttcn:36 match_first result: 23 08:56:41.563840 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563844 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563847 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563850 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563854 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563857 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563861 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563864 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563867 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563871 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563874 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563878 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563881 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563884 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563887 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563891 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563894 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563897 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563901 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563904 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563907 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563912 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.scheduled:0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563916 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.563919 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.563922 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563926 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.563929 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563932 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563936 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.563939 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563942 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563946 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563949 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.563953 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.563956 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563959 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563963 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.563966 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.563969 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563974 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.563977 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563981 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.563984 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563988 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.563992 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.563995 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.dl_bytes:0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.563998 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564002 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.564005 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564008 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564012 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564015 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564018 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564022 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564025 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564029 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564032 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564035 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564038 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564042 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564045 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564048 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564051 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564055 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564058 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564061 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564064 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564069 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564072 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564075 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.llc.ul_bytes:0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564078 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564082 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.564085 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564088 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564091 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564094 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564098 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564101 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564104 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564108 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564111 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564114 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564117 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564121 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564124 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564127 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564130 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564133 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564137 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564140 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564143 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564148 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564151 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564154 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests:0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564158 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564161 520 StatsD_CodecPort.ttcn:36 match_first result: 24 08:56:41.564164 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564167 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564171 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564174 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564177 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564181 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564184 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564188 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564191 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564195 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564198 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564201 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564205 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564208 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564211 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564214 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564218 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564221 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564224 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564228 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564231 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564234 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.already:0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564239 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564242 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.564246 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564249 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564252 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564256 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564259 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564262 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564266 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564269 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564273 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564277 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564281 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564284 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564287 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564291 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564294 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564297 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564300 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564304 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564307 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564310 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564314 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564317 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pch.requests.timeout:0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564320 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564323 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.564327 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564330 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564333 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564337 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564341 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564345 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564348 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564352 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564355 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564358 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564362 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564365 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564368 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564372 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564375 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564378 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564382 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564386 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564389 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564393 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564396 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564399 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests:8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564403 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564406 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.564409 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564412 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564416 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564419 520 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564422 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564426 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564429 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564433 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564436 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564439 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564443 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564446 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564449 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564452 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564456 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564460 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564463 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564467 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564470 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564473 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564477 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564480 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.11bit:8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564483 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564487 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.564490 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564493 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564497 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564500 520 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564503 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564507 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564510 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564514 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564517 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564521 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564524 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564527 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564530 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564534 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564537 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564541 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564545 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564548 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564551 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564554 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564558 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564561 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.one_phase:8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564564 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564567 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.564571 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564574 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564577 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564581 520 StatsD_CodecPort.ttcn:36 match_first data: 8|c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564584 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564589 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564592 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564595 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564599 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564602 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564605 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564608 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564612 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564615 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564618 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564622 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564625 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564628 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564631 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564635 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564638 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564641 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.two_phase:0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564645 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564648 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.564651 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564655 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564658 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564662 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564665 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564668 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564672 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564675 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564679 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564682 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564685 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564689 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564692 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564695 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564698 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564701 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.564704 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564708 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.564711 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564714 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564718 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564721 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.rach.requests.unexpected:0|c 08:56:41.564724 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.564728 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:41.564732 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.564735 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.564738 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564742 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.564745 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.564748 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564752 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.564756 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.564759 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.564763 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.564766 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.564769 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.564772 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564776 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.564779 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.564782 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.564785 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.564788 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.564792 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.564795 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.564798 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.564801 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.564804 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.564808 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.564811 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.564814 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.564817 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.564821 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } 08:56:41.564869 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } } id 8 08:56:41.564882 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.564905 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.rlc.final_block_resent", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ass.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ack.failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.rel.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.late-block", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-dummy", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.sent-control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.dl_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rlc.ul_payload_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.decode.errors", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.allocated", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.freed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.sba.timedout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dropped", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.scheduled", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.dl_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.llc.ul_bytes", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.already", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pch.requests.timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } } } id 8 08:56:41.564914 520 StatsD_Checker.ttcnpp:325 Message with id 8 was extracted from the queue of STATS. 08:56:41.564967 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests", val := 8, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests", mtype := "c", min := 8, max := 8 } 08:56:41.564995 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.11bit", val := 8, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.11bit", mtype := "c", min := 8, max := 8 } 08:56:41.565007 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.one_phase", val := 8, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.one_phase", mtype := "c", min := 8, max := 8 } 08:56:41.565016 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.two_phase", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.two_phase", mtype := "c", min := 0, max := 0 } 08:56:41.565025 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.rach.requests.unexpected", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.rach.requests.unexpected", mtype := "c", min := 0, max := 0 } 08:56:41.565070 520 StatsD_Checker.ttcnpp:324 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E7370622E75706C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E75706C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F66697273745F7365676D656E743A307C630A5454434E332E6274732E302E7370622E646F776E6C696E6B5F7365636F6E645F7365676D656E743A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F554C3A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E6F6E655F70686173653A377C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E74776F5F70686173653A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F756C2E636F6E74656E74696F6E5F7265736F6C7574696F6E5F737563636573733A307C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F72656A3A317C630A5454434E332E6274732E302E696D6D6564696174652E61737369676E6D656E745F444C3A307C630A5454434E332E6274732E302E6368616E6E656C2E726571756573745F6465736372697074696F6E3A307C630A5454434E332E6274732E302E706B742E756C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E6163636573735F72656A6563743A307C630A5454434E332E6274732E302E706B742E646C5F61737369676E6D656E743A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F6E6F74696669636174696F6E3A307C630A5454434E332E6274732E302E706B742E63656C6C5F6368675F636F6E74696E75653A307C630A5454434E332E6274732E302E706B742E6E656967685F63656C6C5F646174613A307C630A5454434E332E6274732E302E756C2E636F6E74726F6C3A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F706F6C6C5F74696D656F75743A307C630A5454434E332E6274732E302E756C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F74696D656F75743A307C630A5454434E332E6274732E302E646C2E61737369676E6D656E745F6661696C65643A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E756C5F61636B5F6E61636B5F6661696C65643A307C63'O ("TTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c\nTTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c") } id 9 08:56:41.565081 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.spb.uplink_first_segment:0|c\nTTCN3.bts.0.spb.uplink_second_segment:0|c\nTTCN3.bts.0.spb.downlink_first_segment:0|c\nTTCN3.bts.0.spb.downlink_second_segment:0|c\nTTCN3.bts.0.immediate.assignment_UL:7|c\nTTCN3.bts.0.immediate.assignment_ul.one_phase:7|c\nTTCN3.bts.0.immediate.assignment_ul.two_phase:0|c\nTTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c\nTTCN3.bts.0.immediate.assignment_rej:1|c\nTTCN3.bts.0.immediate.assignment_DL:0|c\nTTCN3.bts.0.channel.request_description:0|c\nTTCN3.bts.0.pkt.ul_assignment:0|c\nTTCN3.bts.0.pkt.access_reject:0|c\nTTCN3.bts.0.pkt.dl_assignment:0|c\nTTCN3.bts.0.pkt.cell_chg_notification:0|c\nTTCN3.bts.0.pkt.cell_chg_continue:0|c\nTTCN3.bts.0.pkt.neigh_cell_data:0|c\nTTCN3.bts.0.ul.control:0|c\nTTCN3.bts.0.ul.assignment_poll_timeout:0|c\nTTCN3.bts.0.ul.assignment_failed:0|c\nTTCN3.bts.0.dl.assignment_timeout:0|c\nTTCN3.bts.0.dl.assignment_failed:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.ul_ack_nack_failed:0|c" 08:56:41.565104 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_first_segment:0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565108 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565111 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:41.565114 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565119 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565123 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565127 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565130 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565134 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565137 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565141 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565144 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565148 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565151 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565155 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565159 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565162 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565166 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565169 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565173 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565176 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565179 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565183 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565186 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565189 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.uplink_second_segment:0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565195 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565198 520 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:41.565201 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565205 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565208 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565211 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565215 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565218 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565221 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565225 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565228 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565232 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565235 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565240 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565243 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565246 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565249 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565253 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565256 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565259 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565263 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565267 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565270 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565274 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_first_segment:0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565277 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565280 520 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:41.565284 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565287 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565291 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565294 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565297 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565301 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565304 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565308 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565312 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565316 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565319 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565322 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565326 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565329 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565332 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565336 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565339 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565342 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565346 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565350 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565353 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565357 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.spb.downlink_second_segment:0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565360 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565363 520 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:41.565366 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565370 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565373 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565376 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565380 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565383 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565386 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565390 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565394 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565398 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565401 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565404 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565408 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565411 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565414 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565417 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565421 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565424 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565427 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565432 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565435 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565438 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_UL:7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565442 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565445 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.565448 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565451 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565455 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565458 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565461 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565465 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565468 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565471 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565476 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565479 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565483 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565486 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565489 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565492 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565496 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565499 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565502 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565506 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565509 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565512 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565515 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565519 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.one_phase:7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565524 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565527 520 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:41.565530 520 StatsD_CodecPort.ttcn:36 match_begin data: :7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565533 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565537 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565540 520 StatsD_CodecPort.ttcn:36 match_first data: 7|c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565543 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565547 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565550 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565553 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565557 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565560 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565563 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565567 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565571 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565574 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565577 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565581 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565584 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565588 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565591 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565594 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565598 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565601 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.two_phase:0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565604 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565608 520 StatsD_CodecPort.ttcn:36 match_first result: 45 08:56:41.565612 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565616 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565619 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565622 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565626 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565629 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565632 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565636 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565639 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565643 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565646 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565649 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565652 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565656 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565659 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565663 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565667 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565670 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565674 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565677 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565680 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565684 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success:0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565687 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565690 520 StatsD_CodecPort.ttcn:36 match_first result: 65 08:56:41.565693 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565697 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565700 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565704 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565708 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565712 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565715 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565718 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565721 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565725 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565728 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565731 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565735 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565738 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565741 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565745 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565748 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565752 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565755 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565759 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565763 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565766 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_rej:1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565769 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565773 520 StatsD_CodecPort.ttcn:36 match_first result: 36 08:56:41.565776 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565780 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565783 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565787 520 StatsD_CodecPort.ttcn:36 match_first data: 1|c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565790 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565793 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565797 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565800 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565803 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565807 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565810 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565813 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565818 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565821 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565824 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565828 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565831 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565835 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565838 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565841 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565845 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565848 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.immediate.assignment_DL:0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565851 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565855 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.565858 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565862 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565865 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565868 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565873 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565877 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565880 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565884 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565887 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565890 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565893 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565897 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565900 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565904 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565907 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565910 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565913 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565917 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.565920 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565924 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.565927 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565930 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.channel.request_description:0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565935 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.565938 520 StatsD_CodecPort.ttcn:36 match_first result: 39 08:56:41.565942 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565945 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.565948 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565952 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565955 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.565959 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565962 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565966 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565969 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.565973 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.565976 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.565979 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565983 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.565986 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.565989 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.565992 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.565996 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566001 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566004 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566008 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566011 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566014 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_assignment:0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566018 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566021 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.566025 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566028 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566031 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566035 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566038 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566042 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566045 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566049 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566052 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566056 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566059 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566063 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566067 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566070 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566074 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566077 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566080 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566084 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566087 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566090 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566094 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566097 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.access_reject:0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566100 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566104 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.566107 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566110 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566114 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566117 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566120 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566124 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566127 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566132 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566135 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566138 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566142 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566145 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566148 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566151 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566155 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566158 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566161 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566165 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566168 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566171 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566175 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566178 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_assignment:0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566181 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566185 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.566188 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566191 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566195 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566199 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566203 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566206 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566210 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566213 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566216 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566220 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566224 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566227 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566230 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566233 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566237 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566240 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566243 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566247 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566250 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566253 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566257 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566260 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_notification:0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566263 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566267 520 StatsD_CodecPort.ttcn:36 match_first result: 37 08:56:41.566271 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566276 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566279 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566282 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566286 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566290 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566293 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566298 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566302 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566305 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566308 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566312 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566315 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566319 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566322 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566325 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566329 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566332 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566336 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566339 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566342 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566346 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.cell_chg_continue:0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566349 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566354 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:41.566358 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566361 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566364 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566368 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566371 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566375 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566379 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566382 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566385 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566390 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566393 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566397 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566400 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566404 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566407 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566410 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566414 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566417 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566420 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566424 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566427 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566430 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.neigh_cell_data:0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566434 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566437 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.566440 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566445 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566448 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566451 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566454 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566458 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566461 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566465 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566468 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566471 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566474 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566478 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566481 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566484 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566488 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566491 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566494 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566497 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566501 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566504 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566507 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566511 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.control:0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566514 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566517 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:41.566521 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566524 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566527 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566531 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566535 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566538 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566541 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566545 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566548 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566552 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566555 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566558 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566562 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566565 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566568 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566571 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566575 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566578 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566581 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566584 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566588 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566591 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_poll_timeout:0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566594 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566598 520 StatsD_CodecPort.ttcn:36 match_first result: 38 08:56:41.566601 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566604 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566608 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566611 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566614 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566618 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566621 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566625 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566628 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566632 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566636 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566639 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566642 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566646 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566649 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566652 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566655 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566659 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566662 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566665 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566668 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566672 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ul.assignment_failed:0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566675 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566678 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.566681 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566684 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566688 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566691 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566694 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566698 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566701 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566704 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566708 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566711 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566714 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566718 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566721 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566724 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566727 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566731 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566734 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566737 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566740 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566745 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566748 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566752 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_timeout:0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566755 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566758 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:41.566762 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566765 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566768 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566772 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566775 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566779 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566782 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566786 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566789 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566792 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566795 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566799 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566802 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566805 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566808 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566812 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566815 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566818 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566822 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566825 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566829 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566833 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.dl.assignment_failed:0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566836 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566840 520 StatsD_CodecPort.ttcn:36 match_first result: 32 08:56:41.566843 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566846 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566849 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566853 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566856 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566859 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566863 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566866 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566869 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566873 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566876 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566879 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566884 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566887 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566890 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566894 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566897 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566900 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566904 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566907 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566910 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566913 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_timeout:0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566917 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566920 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.566923 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566926 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.566930 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566933 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566936 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.566940 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566943 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566946 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566950 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.566953 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.566956 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566960 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566963 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.566966 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.566969 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566972 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.566976 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566979 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.566982 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566985 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.566988 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.566992 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.ul_ack_nack_failed:0|c 08:56:41.566995 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.566998 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:41.567001 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.567004 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567008 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567011 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.567014 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567018 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567021 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567024 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.567027 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567031 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567034 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567037 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.567040 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567043 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.567047 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.567050 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.567053 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.567056 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567061 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.567064 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.567067 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.567071 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.567074 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.567077 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.567081 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.567084 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567087 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.567090 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } 08:56:41.567136 520 StatsD_Checker.ttcnpp:324 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:41.567146 520 StatsD_Checker.ttcnpp:325 Matching on port STATS succeeded: matched 08:56:41.567169 520 StatsD_Checker.ttcnpp:325 Receive operation on port STATS succeeded, message from system(): @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.spb.uplink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.uplink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_first_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.spb.downlink_second_segment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.channel.request_description", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.access_reject", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_assignment", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_notification", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.cell_chg_continue", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.neigh_cell_data", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.control", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_poll_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.ul.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.dl.assignment_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.ul_ack_nack_failed", val := 0, mtype := "c", srate := omit } } } id 9 08:56:41.567176 520 StatsD_Checker.ttcnpp:325 Message with id 9 was extracted from the queue of STATS. 08:56:41.567186 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_UL", val := 7, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_UL", mtype := "c", min := 7, max := 7 } 08:56:41.567196 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", val := 7, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_ul.one_phase", mtype := "c", min := 7, max := 7 } 08:56:41.567207 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_ul.two_phase", mtype := "c", min := 0, max := 0 } 08:56:41.567217 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_ul.contention_resolution_success", mtype := "c", min := 0, max := 0 } 08:56:41.567227 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_rej", val := 1, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_rej", mtype := "c", min := 1, max := 1 } 08:56:41.567237 520 StatsD_Checker.ttcnpp:284 EXP match: { name := "TTCN3.bts.0.immediate.assignment_DL", val := 0, mtype := "c", srate := omit } vs exp { name := "TTCN3.bts.0.immediate.assignment_DL", mtype := "c", min := 0, max := 0 } 08:56:41.567266 520 StatsD_Checker.ttcnpp:366 Stop timer T_statsd: 5 s 08:56:41.567345 520 StatsD_Checker.ttcnpp:151 Replied on STATSD_PROC to mtc @StatsD_Checker.STATSD_expect : { } value true 08:56:41.567412 520 StatsD_Checker.ttcnpp:135 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F74696D656F75743A307C630A5454434E332E6274732E302E706B742E646C5F61636B5F6E61636B5F6661696C65643A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E646F776E6C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E646F776E6C696E6B5F6D6373393A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373313A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373323A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373333A307C630A5454434E332E6274732E302E677072732E75706C696E6B5F6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373313A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373323A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373333A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373343A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373353A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373363A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373373A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373383A307C630A5454434E332E6274732E302E65677072732E75706C696E6B5F6D6373393A307C63'O ("TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c\nTTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c") } id 10 08:56:41.567425 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c\nTTCN3.bts.0.pkt.dl_ack_nack_failed:0|c\nTTCN3.bts.0.gprs.downlink_cs1:0|c\nTTCN3.bts.0.gprs.downlink_cs2:0|c\nTTCN3.bts.0.gprs.downlink_cs3:0|c\nTTCN3.bts.0.gprs.downlink_cs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs1:0|c\nTTCN3.bts.0.egprs.downlink_mcs2:0|c\nTTCN3.bts.0.egprs.downlink_mcs3:0|c\nTTCN3.bts.0.egprs.downlink_mcs4:0|c\nTTCN3.bts.0.egprs.downlink_mcs5:0|c\nTTCN3.bts.0.egprs.downlink_mcs6:0|c\nTTCN3.bts.0.egprs.downlink_mcs7:0|c\nTTCN3.bts.0.egprs.downlink_mcs8:0|c\nTTCN3.bts.0.egprs.downlink_mcs9:0|c\nTTCN3.bts.0.gprs.uplink_cs1:0|c\nTTCN3.bts.0.gprs.uplink_cs2:0|c\nTTCN3.bts.0.gprs.uplink_cs3:0|c\nTTCN3.bts.0.gprs.uplink_cs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs1:0|c\nTTCN3.bts.0.egprs.uplink_mcs2:0|c\nTTCN3.bts.0.egprs.uplink_mcs3:0|c\nTTCN3.bts.0.egprs.uplink_mcs4:0|c\nTTCN3.bts.0.egprs.uplink_mcs5:0|c\nTTCN3.bts.0.egprs.uplink_mcs6:0|c\nTTCN3.bts.0.egprs.uplink_mcs7:0|c\nTTCN3.bts.0.egprs.uplink_mcs8:0|c\nTTCN3.bts.0.egprs.uplink_mcs9:0|c" 08:56:41.567427 mtc StatsD_Checker.ttcnpp:420 Reply enqueued on STATSD_PROC from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520) @StatsD_Checker.STATSD_expect : { } value true id 2 08:56:41.567450 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_timeout:0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567453 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567453 mtc StatsD_Checker.ttcnpp:421 Matching on port STATSD_PROC succeeded: { } with { } matched value true with ? matched 08:56:41.567457 520 StatsD_CodecPort.ttcn:36 match_first result: 35 08:56:41.567460 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567460 mtc StatsD_Checker.ttcnpp:421 Getreply operation on port STATSD_PROC succeeded, reply from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520): @StatsD_Checker.STATSD_expect : { } value true id 1 08:56:41.567465 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567468 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567470 mtc StatsD_Checker.ttcnpp:421 Operation with id 2 was extracted from the queue of STATSD_PROC. 08:56:41.567472 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567477 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567477 mtc GPRS_Components.ttcn:215 setverdict(pass): pass -> pass, component reason not changed 08:56:41.567481 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567485 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567486 mtc GPRS_Components.ttcn:219 Sent on BTS to BTS(519) @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } 08:56:41.567489 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567493 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567497 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567500 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567503 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567507 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567510 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567513 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567518 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.567521 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567525 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.567528 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567532 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567535 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567538 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pkt.dl_ack_nack_failed:0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567542 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567545 520 StatsD_CodecPort.ttcn:36 match_first result: 34 08:56:41.567548 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567553 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567554 519 PCUIF_Components.ttcn:505 Message enqueued on TC from mtc @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:41.567556 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567560 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567564 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567567 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567571 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567575 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567578 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567582 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567585 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567589 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567592 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567596 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567598 519 PCUIF_Components.ttcn:335 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:41.567599 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567604 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.567606 519 PCUIF_Components.ttcn:343 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:41.567608 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567611 519 PCUIF_Components.ttcn:347 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:41.567611 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.567615 519 PCUIF_Components.ttcn:352 Matching on port TC failed: Type of the first message in the queue is not @PCUIF_Types.PCUIF_Message. 08:56:41.567615 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567620 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567623 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567627 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs1:0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567631 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567633 519 PCUIF_Components.ttcn:605 Matching on port TC succeeded: matched 08:56:41.567634 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.567638 519 PCUIF_Components.ttcn:605 Receive operation on port TC succeeded, message from mtc: @PCUIF_Components.RAW_PCU_Command : { cmd := GENERAL_CMD_SHUTDOWN (0), data := omit } id 9 08:56:41.567639 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567643 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567644 519 PCUIF_Components.ttcn:605 Message with id 9 was extracted from the queue of TC. 08:56:41.567647 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567648 519 PCUIF_Components.ttcn:606 Shutting down virtual BTS #0... 08:56:41.567650 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567654 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567657 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567661 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567663 519 PCUIF_Components.ttcn:607 Stopping PTC with component reference 521. 08:56:41.567664 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567668 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567671 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567675 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567678 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567683 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567686 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567689 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567693 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.567696 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567699 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.567702 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567706 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567709 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567712 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs2:0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567718 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567721 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.567724 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567728 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567731 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567734 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567738 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567741 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567744 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567748 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567751 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567755 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567758 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567761 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567765 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567769 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567772 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567775 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.567778 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567782 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.567785 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567788 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567791 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567795 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs3:0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567799 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567802 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.567806 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567809 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567812 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567815 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567819 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567822 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567825 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567829 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567832 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567835 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567839 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567842 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567846 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567850 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567853 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567856 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.567859 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567863 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.567866 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567869 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567872 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567876 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.downlink_cs4:0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567879 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567882 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.567886 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567890 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567895 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567898 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567901 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567905 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567908 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567908 521 PCUIF_Components.ttcn:256 Timeout T_TDMAClock: 0.004615 s 08:56:41.567911 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567915 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.567918 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567922 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567925 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567928 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.567931 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567935 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567936 521 PCUIF_Components.ttcn:238 Sent on CLCK to BTS(519) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } 08:56:41.567940 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.567943 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567947 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.567947 521 PCUIF_Components.ttcn:255 Start timer T_TDMAClock: 0.004615 s 08:56:41.567950 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567953 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.567956 521 PCUIF_Components.ttcn:256 Stop was requested from MC. 08:56:41.567957 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567960 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs1:0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567962 521 PCUIF_Components.ttcn:256 Stopping test component execution. 08:56:41.567963 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.567967 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.567970 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567974 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.567978 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.567981 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567984 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.567988 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.567991 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.567995 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.567998 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568001 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568002 519 PCUIF_Components.ttcn:607 Message enqueued on CLCK from ClckGen-0(521) @PCUIF_Components.RAW_PCU_Event : { event := TDMA_EV_PDTCH_BLOCK_BEG (3), data := { tdma_fn := 21 } } id 12 08:56:41.568004 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568008 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568012 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568015 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568018 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568022 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568025 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568030 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568033 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568036 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568039 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568043 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs2:0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568046 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568049 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568052 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568056 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568059 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568064 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568068 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568071 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568074 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568078 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568081 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568085 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568088 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568091 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568094 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568097 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568100 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568100 521 - Function f_ClckGen_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:41.568104 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568108 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568111 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568114 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568119 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568122 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568125 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs3:0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568129 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568132 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568135 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568138 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568142 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568145 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568148 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568152 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568155 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568158 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568160 519 PCUIF_Components.ttcn:607 PTC with component reference 521 was stopped. 08:56:41.568162 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568166 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568170 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568175 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568178 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568182 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568185 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568188 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568191 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568194 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568198 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568201 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568204 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568207 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs4:0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568208 519 - Function f_BTS_CT_handler finished. PTC remains alive and is waiting for next start. 08:56:41.568211 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568214 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568217 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568222 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568225 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568228 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568232 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568235 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568238 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568242 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568245 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568248 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568252 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568255 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568258 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568261 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568264 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568268 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568271 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568276 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568278 mtc GPRS_Components.ttcn:220 PTC with component reference 519 is done. 08:56:41.568279 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568284 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568287 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568291 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs5:0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568294 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568297 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568301 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568303 mtc GPRS_Components.ttcn:223 Stopping all components. 08:56:41.568304 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568308 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568311 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568314 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568318 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568321 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568324 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568366 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568367 518 PCUIF_Components.ttcn:653 Stop was requested from MC. 08:56:41.568370 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568373 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568376 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568380 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568383 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568386 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568387 518 PCUIF_Components.ttcn:653 Stopping test component execution. 08:56:41.568390 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568393 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568396 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568400 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568403 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568406 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568409 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs6:0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568414 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568417 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568420 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568423 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568427 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568430 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568433 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568437 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568440 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568443 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568446 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568450 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568453 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568456 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568459 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568462 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568465 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568469 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568472 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568476 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568480 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568483 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568486 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568489 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs7:0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568492 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568496 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568499 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568502 518 - Function f_PCUIF_CT_handler was stopped. PTC remains alive and is waiting for next start. 08:56:41.568502 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568506 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568510 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568513 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568516 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568520 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568523 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568527 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568531 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568534 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568537 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568540 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568543 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568546 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568550 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568553 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568556 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568559 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568562 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568565 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568569 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs8:0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568572 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568575 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568578 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568583 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568586 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568589 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568593 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568596 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568599 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568602 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568606 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568609 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568612 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568615 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568618 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568621 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568625 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568628 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568631 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568634 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568638 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568641 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568644 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568651 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.downlink_mcs9:0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568654 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568658 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.568661 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568664 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568667 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568671 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568674 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568678 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568681 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568684 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568687 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568691 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568694 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568697 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568700 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568704 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568707 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568710 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568713 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568718 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568721 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568724 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568727 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568730 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs1:0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568734 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568737 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.568740 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568743 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568746 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568750 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568753 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568756 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568759 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568762 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568766 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568769 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568772 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568775 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568778 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568783 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568786 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568790 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568793 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568796 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568799 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568802 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568806 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568809 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs2:0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568812 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568816 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.568819 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568822 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568825 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568829 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568832 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568836 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568839 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568842 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568846 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568849 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568852 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568857 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568860 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568863 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568866 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568869 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568873 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568876 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568879 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568882 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568886 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568889 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs3:0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568892 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568895 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.568898 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568901 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568905 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568908 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568911 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568915 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568918 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568922 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568926 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.568929 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568933 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568936 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568939 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.568942 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.568945 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568949 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.568952 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568955 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.568958 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568961 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.568965 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568968 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.gprs.uplink_cs4:0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568971 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.568974 520 StatsD_CodecPort.ttcn:36 match_first result: 27 08:56:41.568978 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568981 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.568984 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.568988 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.568991 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.568994 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.568998 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569001 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569005 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569009 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569012 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569015 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569018 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569022 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569025 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569028 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569031 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569034 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569038 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569041 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569044 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569047 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs1:0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569052 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569056 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569059 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569063 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569066 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569069 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569072 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569076 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569079 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569082 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569087 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569090 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569093 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569096 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569100 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569103 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569106 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569109 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569112 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569116 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569119 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569122 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569125 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569128 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs2:0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569131 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569134 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569138 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569141 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569144 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569147 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569150 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569154 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569157 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569160 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569163 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569167 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569170 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569173 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569177 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569181 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569184 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569187 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569190 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569193 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569196 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569200 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569203 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569206 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs3:0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569209 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569212 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569215 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569218 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569222 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569225 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569228 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569231 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569234 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569238 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569241 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569244 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569247 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569250 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569254 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569257 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569260 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569263 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569266 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569269 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569274 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569277 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569280 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569283 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs4:0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569286 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569289 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569292 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569295 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569299 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569302 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569305 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569308 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569312 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569315 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569318 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569321 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569324 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569328 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569331 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569334 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569337 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569340 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569343 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569346 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569350 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569353 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569356 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569359 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs5:0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569362 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569365 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569369 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569372 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569375 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569378 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569382 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569386 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569389 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569392 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569396 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569399 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569402 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569405 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569408 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569411 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569414 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569418 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569421 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569424 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569427 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569430 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569434 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569437 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs6:0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569440 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569444 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569447 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569450 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569453 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569457 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569460 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569463 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569466 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569470 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569473 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569477 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569480 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569483 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569486 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569489 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569493 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569496 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569499 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569502 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569505 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569509 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569513 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569516 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs7:0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569519 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569522 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569525 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569528 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569532 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569535 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569538 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569541 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569545 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569548 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569551 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569554 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569558 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569561 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569564 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569567 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569570 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569573 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569576 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569580 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569583 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569586 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569589 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569593 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs8:0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569596 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569599 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569602 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569606 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569609 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569612 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569615 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569619 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569622 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569625 520 StatsD_CodecPort.ttcn:36 match_begin data: |c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569629 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569632 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569635 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569638 520 StatsD_CodecPort.ttcn:36 match_first data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569641 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569645 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569648 520 StatsD_CodecPort.ttcn:36 match_list data: c TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569651 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569654 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569657 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569660 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569665 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569668 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569671 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.egprs.uplink_mcs9:0|c 08:56:41.569674 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569677 520 StatsD_CodecPort.ttcn:36 match_first result: 29 08:56:41.569681 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|c 08:56:41.569684 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569687 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569691 520 StatsD_CodecPort.ttcn:36 match_first data: 0|c 08:56:41.569695 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569698 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569702 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569705 520 StatsD_CodecPort.ttcn:36 match_begin data: |c 08:56:41.569708 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569712 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569715 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569718 520 StatsD_CodecPort.ttcn:36 match_first data: c 08:56:41.569721 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569724 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.569727 520 StatsD_CodecPort.ttcn:36 match_list data: c 08:56:41.569730 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.569733 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.569736 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569740 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.569743 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.569746 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.569749 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.569752 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.569755 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.569758 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.569761 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569765 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.569768 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit } } 08:56:41.569815 520 StatsD_Checker.ttcnpp:135 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.bts.0.pkt.dl_ack_nack_timeout", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.pkt.dl_ack_nack_failed", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.downlink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.downlink_mcs9", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.gprs.uplink_cs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs1", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs2", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs3", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs4", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs5", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs6", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs7", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs8", val := 0, mtype := "c", srate := omit }, { name := "TTCN3.bts.0.egprs.uplink_mcs9", val := 0, mtype := "c", srate := omit } } } id 10 08:56:41.569836 520 StatsD_Checker.ttcnpp:135 Message enqueued on STATS from system @IPL4asp_Types.ASP_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, proto := { udp := { } }, userData := 0, msg := '5454434E332E6E732E6E7376632E4E534530313233342D4E5356432D5544502D305F305F305F302E32333030302D3137325F31385F3136355F31302E32333030302E616C6976652E64656C61793A307C670A5454434E332E6E732E62696E642E302E74785F6261636B6C6F675F6C656E6774683A307C670A5454434E332E6274732E302E6D732E70726573656E743A387C670A5454434E332E6274732E302E706463682E617661696C61626C653A317C670A5454434E332E6274732E302E706463682E6F636375706965643A317C670A5454434E332E6274732E302E706463682E6F636375706965642E677072733A307C670A5454434E332E6274732E302E706463682E6F636375706965642E65677072733A317C67'O ("TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.alive.delay:0|g\nTTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g") } id 11 08:56:41.569843 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Stream before decoding: "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.alive.delay:0|g\nTTCN3.ns.bind.0.tx_backlog_length:0|g\nTTCN3.bts.0.ms.present:8|g\nTTCN3.bts.0.pdch.available:1|g\nTTCN3.bts.0.pdch.occupied:1|g\nTTCN3.bts.0.pdch.occupied.gprs:0|g\nTTCN3.bts.0.pdch.occupied.egprs:1|g" 08:56:41.569852 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.alive.delay:0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569855 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569859 520 StatsD_CodecPort.ttcn:36 match_first result: 77 08:56:41.569862 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569865 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569868 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569872 520 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569875 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569878 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569881 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569885 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569888 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569891 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569895 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569898 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569901 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569904 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569907 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569910 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569913 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569917 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569920 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569923 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.569926 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569930 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.ns.bind.0.tx_backlog_length:0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569933 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.569936 520 StatsD_CodecPort.ttcn:36 match_first result: 33 08:56:41.569939 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569944 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.569947 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569950 520 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569953 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.569957 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569960 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569964 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569967 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.569970 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.569974 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.569977 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569980 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.569983 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.569986 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569990 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.569993 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.569996 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.569999 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570002 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.570006 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570009 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.ms.present:8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570012 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.570015 520 StatsD_CodecPort.ttcn:36 match_first result: 22 08:56:41.570018 520 StatsD_CodecPort.ttcn:36 match_begin data: :8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570022 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.570025 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570028 520 StatsD_CodecPort.ttcn:36 match_first data: 8|g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570031 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.570035 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570038 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570041 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570044 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.570048 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570051 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570054 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570058 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.570062 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570065 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570068 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.570071 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570074 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.570077 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570081 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.570084 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570087 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.available:1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570090 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.570094 520 StatsD_CodecPort.ttcn:36 match_first result: 26 08:56:41.570097 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570100 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.570103 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570106 520 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570110 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.570113 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570116 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570120 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570123 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.570127 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570131 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570134 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570138 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.570141 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570144 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570147 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.570151 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570154 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.570157 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570160 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.570163 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570166 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied:1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570169 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.570173 520 StatsD_CodecPort.ttcn:36 match_first result: 25 08:56:41.570176 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570179 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.570182 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570185 520 StatsD_CodecPort.ttcn:36 match_first data: 1|g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570188 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.570193 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570196 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570199 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570203 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.570206 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570209 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570212 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570215 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.570218 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570222 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570225 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.570228 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570231 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.570234 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570237 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.570240 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570243 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.gprs:0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570246 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.570250 520 StatsD_CodecPort.ttcn:36 match_first result: 30 08:56:41.570253 520 StatsD_CodecPort.ttcn:36 match_begin data: :0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570256 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.570259 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570262 520 StatsD_CodecPort.ttcn:36 match_first data: 0|g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570265 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.570269 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570272 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570275 520 StatsD_CodecPort.ttcn:36 match_begin data: |g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570278 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.570282 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570285 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570288 520 StatsD_CodecPort.ttcn:36 match_first data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570291 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.570294 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570305 520 StatsD_CodecPort.ttcn:36 match_list data: g TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570308 520 StatsD_CodecPort.ttcn:36 match_list result: 1 08:56:41.570311 520 StatsD_CodecPort.ttcn:36 match_list data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570315 520 StatsD_CodecPort.ttcn:36 match_list result: 0 08:56:41.570318 520 StatsD_CodecPort.ttcn:36 match_begin data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570321 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.570324 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570327 520 StatsD_CodecPort.ttcn:36 match_first data: TTCN3.bts.0.pdch.occupied.egprs:1|g 08:56:41.570330 520 StatsD_CodecPort.ttcn:36 match_first token: ":" 08:56:41.570333 520 StatsD_CodecPort.ttcn:36 match_first result: 31 08:56:41.570336 520 StatsD_CodecPort.ttcn:36 match_begin data: :1|g 08:56:41.570340 520 StatsD_CodecPort.ttcn:36 match_begin token: ":" 08:56:41.570343 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570346 520 StatsD_CodecPort.ttcn:36 match_first data: 1|g 08:56:41.570349 520 StatsD_CodecPort.ttcn:36 match_first token: "^(\\|)" 08:56:41.570353 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570356 520 StatsD_CodecPort.ttcn:36 match_first result: 1 08:56:41.570359 520 StatsD_CodecPort.ttcn:36 match_begin data: |g 08:56:41.570362 520 StatsD_CodecPort.ttcn:36 match_begin token: "^(\\|)" 08:56:41.570368 520 StatsD_CodecPort.ttcn:36 match_begin regexec result: 0, Success 08:56:41.570371 520 StatsD_CodecPort.ttcn:36 match_begin result: 1 08:56:41.570374 520 StatsD_CodecPort.ttcn:36 match_first data: g 08:56:41.570377 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.570380 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.570384 520 StatsD_CodecPort.ttcn:36 match_list data: g 08:56:41.570388 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.570391 520 StatsD_CodecPort.ttcn:36 match_first data: 08:56:41.570394 520 StatsD_CodecPort.ttcn:36 match_first token: "\n" 08:56:41.570397 520 StatsD_CodecPort.ttcn:36 match_first result: -1 08:56:41.570400 520 StatsD_CodecPort.ttcn:36 match_list data: 08:56:41.570403 520 StatsD_CodecPort.ttcn:36 match_list result: -1 08:56:41.570406 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.570409 520 StatsD_CodecPort.ttcn:36 match_begin token: "\\|@" 08:56:41.570412 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.570415 520 StatsD_CodecPort.ttcn:36 match_begin data: 08:56:41.570418 520 StatsD_CodecPort.ttcn:36 match_begin token: "\n" 08:56:41.570422 520 StatsD_CodecPort.ttcn:36 match_begin result: -1 08:56:41.570425 520 StatsD_CodecPort.ttcn:36 dec_StatsDMessage(): Decoded @StatsD_Types.StatsDMessage: { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.alive.delay", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } 08:56:41.570443 520 StatsD_Checker.ttcnpp:135 Incoming message was mapped to @StatsD_CodecPort.StatsD_RecvFrom : { connId := 1, remName := "172.18.165.101", remPort := 45770, locName := "172.18.165.10", locPort := 8125, msg := { { name := "TTCN3.ns.nsvc.NSE01234-NSVC-UDP-0_0_0_0.23000-172_18_165_10.23000.alive.delay", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.ns.bind.0.tx_backlog_length", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.ms.present", val := 8, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.available", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied", val := 1, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.gprs", val := 0, mtype := "g", srate := omit }, { name := "TTCN3.bts.0.pdch.occupied.egprs", val := 1, mtype := "g", srate := omit } } } id 11 08:56:41.570450 520 StatsD_Checker.ttcnpp:135 Kill was requested from MC. 08:56:41.570454 520 StatsD_Checker.ttcnpp:135 Terminating test component execution. 08:56:41.570570 520 - Function main was stopped. PTC terminates. 08:56:41.570583 520 - Terminating component type StatsD_Checker.StatsD_Checker_CT. 08:56:41.570587 520 - Removing unterminated mapping between port STATSVTY and system:STATSVTY. 08:56:41.570619 520 - Port STATSVTY was unmapped from system:STATSVTY. 08:56:41.570626 520 - Port STATSVTY was stopped. 08:56:41.570630 520 - Removing unterminated connection between port STATSD_PROC and mtc:STATSD_PROC. 08:56:41.570639 520 - Port STATSD_PROC was stopped. 08:56:41.570642 520 - Removing unterminated mapping between port STATS and system:STATS. 08:56:41.570687 mtc GPRS_Components.ttcn:223 Connection of port STATSD_PROC to TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC was closed unexpectedly by the peer. 08:56:41.570708 mtc GPRS_Components.ttcn:223 Port STATSD_PROC was disconnected from TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520):STATSD_PROC. 08:56:41.570737 520 - Port STATS was unmapped from system:STATS. 08:56:41.570744 520 - Message with id 10 was extracted from the queue of STATS. 08:56:41.570748 520 - Message with id 11 was extracted from the queue of STATS. 08:56:41.570751 520 - Port STATS was stopped. 08:56:41.570754 520 - Component type StatsD_Checker.StatsD_Checker_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:41.570759 520 - Final verdict of PTC: none 08:56:41.570787 520 - Disconnected from MC. 08:56:41.570797 520 - TTCN-3 Parallel Test Component finished. 08:56:41.570832 mtc GPRS_Components.ttcn:223 All components were stopped. 08:56:41.570853 mtc GPRS_Components.ttcn:224 Stopping test component execution. 08:56:41.570879 mtc PCU_Tests.ttcn:4943 Test case TC_egprs_pkt_chan_req_reject_exhaustion was stopped. 08:56:41.570884 mtc PCU_Tests.ttcn:4943 Terminating component type PCU_Tests.RAW_PCU_Test_CT. 08:56:41.570888 mtc PCU_Tests.ttcn:4943 Default with id 1 (altstep as_Tguard_RAW) was deactivated. 08:56:41.570893 mtc PCU_Tests.ttcn:4943 Stop timer g_T_guard: 60 s 08:56:41.570898 mtc PCU_Tests.ttcn:4943 Removing unterminated connection between port BTS and BTS(519):TC. 08:56:41.570916 mtc PCU_Tests.ttcn:4943 Port BTS was stopped. 08:56:41.570921 mtc PCU_Tests.ttcn:4943 Port PROC was stopped. 08:56:41.570924 mtc PCU_Tests.ttcn:4943 Port RIM was stopped. 08:56:41.570928 mtc PCU_Tests.ttcn:4943 Port IPA_CTRL was stopped. 08:56:41.570931 mtc PCU_Tests.ttcn:4943 Port BSSGP[0] was stopped. 08:56:41.570935 mtc PCU_Tests.ttcn:4943 Port BSSGP[1] was stopped. 08:56:41.570938 mtc PCU_Tests.ttcn:4943 Port BSSGP[2] was stopped. 08:56:41.570941 mtc PCU_Tests.ttcn:4943 Port BSSGP_SIG[0] was stopped. 08:56:41.570944 mtc PCU_Tests.ttcn:4943 Port BSSGP_SIG[1] was stopped. 08:56:41.570947 mtc PCU_Tests.ttcn:4943 Port BSSGP_SIG[2] was stopped. 08:56:41.570950 mtc PCU_Tests.ttcn:4943 Port BSSGP_GLOBAL[0] was stopped. 08:56:41.570953 mtc PCU_Tests.ttcn:4943 Port BSSGP_GLOBAL[1] was stopped. 08:56:41.570957 519 - Connection of port TC to mtc:BTS was closed unexpectedly by the peer. 08:56:41.570957 mtc PCU_Tests.ttcn:4943 Port BSSGP_GLOBAL[2] was stopped. 08:56:41.570960 mtc PCU_Tests.ttcn:4943 Port BSSGP_PROC[0] was stopped. 08:56:41.570964 mtc PCU_Tests.ttcn:4943 Port BSSGP_PROC[1] was stopped. 08:56:41.570967 mtc PCU_Tests.ttcn:4943 Port BSSGP_PROC[2] was stopped. 08:56:41.570970 mtc PCU_Tests.ttcn:4943 Port STATSD_PROC was stopped. 08:56:41.570974 mtc PCU_Tests.ttcn:4943 Removing unterminated mapping between port PCUVTY and system:PCUVTY. 08:56:41.570979 519 - Port TC was disconnected from mtc:BTS. 08:56:41.570994 mtc PCU_Tests.ttcn:4943 Port PCUVTY was unmapped from system:PCUVTY. 08:56:41.571000 mtc PCU_Tests.ttcn:4943 Port PCUVTY was stopped. 08:56:41.571003 mtc PCU_Tests.ttcn:4943 Component type PCU_Tests.RAW_PCU_Test_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:41.571008 mtc PCU_Tests.ttcn:4943 Waiting for PTCs to finish. 08:56:41.571095 518 - Kill was requested from MC. Terminating idle PTC. 08:56:41.571095 519 - Kill was requested from MC. Terminating idle PTC. 08:56:41.571095 521 - Kill was requested from MC. Terminating idle PTC. 08:56:41.571117 521 - Terminating component type PCUIF_Components.RAW_PCU_ClckGen_CT. 08:56:41.571118 518 - Terminating component type PCUIF_Components.RAW_PCUIF_CT. 08:56:41.571118 519 - Terminating component type PCUIF_Components.RAW_PCU_BTS_CT. 08:56:41.571123 521 - Stop timer T_TDMAClock: 0.004615 s 08:56:41.571126 518 - Removing unterminated mapping between port PCU and system:PCU. 08:56:41.571126 519 - Removing unterminated connection between port CLCK and ClckGen-0(521):CLCK. 08:56:41.571131 521 - Removing unterminated connection between port CLCK and BTS(519):CLCK. 08:56:41.571147 521 - Port CLCK was stopped. 08:56:41.571151 519 - Message with id 12 was extracted from the queue of CLCK. 08:56:41.571152 521 - Component type PCUIF_Components.RAW_PCU_ClckGen_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:41.571157 519 - Port CLCK was stopped. 08:56:41.571158 521 - Final verdict of PTC: none 08:56:41.571162 519 - Removing unterminated connection between port PCUIF and PCUIF(518):BTS. 08:56:41.571170 519 - Port PCUIF was stopped. 08:56:41.571175 519 - Port TC was stopped. 08:56:41.571178 519 - Component type PCUIF_Components.RAW_PCU_BTS_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:41.571182 518 - Port PCU was unmapped from system:PCU. 08:56:41.571184 519 - Final verdict of PTC: none 08:56:41.571186 521 - Disconnected from MC. 08:56:41.571191 518 - Port PCU was stopped. 08:56:41.571195 518 - Removing unterminated connection between port BTS and BTS(519):PCUIF. 08:56:41.571196 521 - TTCN-3 Parallel Test Component finished. 08:56:41.571203 519 - Disconnected from MC. 08:56:41.571209 518 - Port BTS was stopped. 08:56:41.571212 519 - TTCN-3 Parallel Test Component finished. 08:56:41.571213 518 - Port MTC was stopped. 08:56:41.571217 518 - Component type PCUIF_Components.RAW_PCUIF_CT was shut down inside testcase TC_egprs_pkt_chan_req_reject_exhaustion. 08:56:41.571223 518 - Final verdict of PTC: pass 08:56:41.571239 518 - Disconnected from MC. 08:56:41.571249 518 - TTCN-3 Parallel Test Component finished. 08:56:41.571293 mtc PCU_Tests.ttcn:4943 Setting final verdict of the test case. 08:56:41.571313 mtc PCU_Tests.ttcn:4943 Local verdict of MTC: pass 08:56:41.571319 mtc PCU_Tests.ttcn:4943 Local verdict of PTC PCUIF(518): pass (pass -> pass) 08:56:41.571323 mtc PCU_Tests.ttcn:4943 Local verdict of PTC BTS(519): none (pass -> pass) 08:56:41.571327 mtc PCU_Tests.ttcn:4943 Local verdict of PTC TC_egprs_pkt_chan_req_reject_exhaustion-STATS(520): none (pass -> pass) 08:56:41.571332 mtc PCU_Tests.ttcn:4943 Local verdict of PTC ClckGen-0(521): none (pass -> pass) 08:56:41.571336 mtc PCU_Tests.ttcn:4943 Test case TC_egprs_pkt_chan_req_reject_exhaustion finished. Verdict: pass 08:56:41.571347 mtc PCU_Tests.ttcn:4943 Starting external command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass'. 08:56:43.780394 mtc PCU_Tests.ttcn:4943 External command `../ttcn3-tcpdump-stop.sh PCU_Tests.TC_egprs_pkt_chan_req_reject_exhaustion pass' was executed successfully (exit status: 0). 08:56:43.780574 mtc PCU_Tests.ttcn:2746 Switching to log file `PCU_Tests-TC_mo_ping_pong_with_ul_racap_egprs_only-52803d4adca3-mtc.log'