ELF>0@@'&GNUo\e6yېLinuxIt1҉AE1A@sփt<4@֍)ɍpEADpHAHutDt(AAGRDDA)BDADȿ1HxHH0 tH8 HHHEfAUATUSHxHHHHHLdA$t [1]A\A]Lm@LLHDŽAD$xH1[]A\A]@fDHH HǃUHG`HSHW`H9tbH"HG`HHPHQH HHHpH@PHUPHBHHUPHPHEP[]H= Huff.fATLgUHLSHeH%(HD$1HH$H\$HUHHEH9t#H}HM H$H_H<$HHJHEHE LH$H9t;IH"H<$HGHHBHL'HoH$H9uHD$eH+%(u H[]A\ff.H8H@ ff.fATUSH_xHt |u  []A\Lc@L|H@tK2L[]A\H8 LHǃ8 Ht[H]A\fDAVIAULo@ATLUSHHƃwEIPStHHЃ@DcD9cu"[L]A\A]A^E1D9ctDLCD @ C|IF(HdHC@[]A\A]A^IPStHHЁlDCD DS EEEE118AF$YANC { …E118AF$LI@ [H]A\HA]A^@SHHxHcHHHHH<tZtH1[uGt1LJ H[AGtLJ  %uXGpHcHHHʀ|pƒHHHH|puHȁ HHȉH1[QGpHtpH߉]-HHAUIATLb0UHcLSHfjfj fjfjHBBBHB HB(HǂHǂHDIDP`fS2PdfSHFHGH8HHHH>HFH0ƁHFFKEE E FE"<@EFtFE)E0E7E>EEELESȃ4DHTuAƃD< EDELd$H!AHňD;LLeM,E(W]u LL<LL,ƃE(lUD%fvfULcLHLHD@8AmdADDDDPMcLHLʋ8&uAĀD ҍPEHc@HDHHH 0A9t%LHLHHHHD0H 1ELL$@DT$H$J<1LL$$f}DT$pHDDeHpEHEE1ЋU̅8Ew.hA$PA$HHUHH9}ʾ$ʀA$H M$HH<$QHAHQHyHHGH8E$DuA$A$HHUAT$uуsw!@vAT$o@AT$HHW@HUuuDƒ0A$ H)HHUZw%2U$$H<־$'HH9}D1$@A$H M$HH<$HLHEHI#D$u"MtEE  ƃA $AT$ `A $HHU@VAT$HHW@HU7@9AT$@L  AT$HH9U D EU$$H<־A$$0A$ H)HHUDƒ A$HHUDƒ@A$EE,@ HH9}8$AT$H M$HH<$HH9}H M$$HH<$AT$AT$ )99EE,@ IcHHH0<@AL$ȃ AL$<wЃA$5H U$HH<A$A$UUąt EeH U$HH<E5EAVAAUIH=ATI Uպ SHtXI@HIPD H@L hLHID$I\$L#HCH1[]A\A]A^[]A\A]A^DEAWAVAUATIUHSH HxDhMcLHLHLDpHLcHDEQEIcHHHʋV5DLHL*] H 1[]A\A]A^A_1ELHLH:Lƺ"HL$F$ADH4$H#L$LHL:A$LHAL$DH4$H%LHLDŽLHߺL$F,͸ADH4$HLHߺ$L$F,͸ADH4$H%gLdpLHHLL$LL@: LH|$ L $HDt$ L $EB=< vNH$eH+%(H[]A\A]A^A_3@,[@E벁? @:ڌ@uB=L $uLLHD$4L $f  v]ff-LHLDx D$8LfHLfD~fv>fLȋT$8HLȅUHLAx Ax1fefLHLDL$8EALx1ffTLȋL$8HLȋTxLHLHDPxfP~B=LHLHiD$(LHiD$,i Ht$(LD$0HJL=oHLcuՋ…@18`AxAtu-J=LHL $L $LLu(HLHDHpL1IL $ALLHD$4D$8f L $vRffkLHLD~-D$8LLH1Mfv-fLHLȋDxtD$8ffLHLDxyffLHLDx KH=@ IHB=1ۃtHtLHAHH@LȾHLHDPxfp|H1KLDT$8HLELx1'LHLDx@D$8LHLDx܋AxAtJ=`t.DJ=LHc!Ш1DxLʃHLʉDx1d_ _ 63dx1A PLHQLHiD$(RLHiD$,i&L8LDD$8HLELx1AGrD$8>AGx.AG|-D$8D$8 XLfHLfD|LȋT$8HLfTr1@dx1,dx1 {ff.fAWAVAUATIUM|$@HS˹HXT$Ll$ 1LeH%(HD$P1fT$HD$HLExLH1HL=AALpHLځ?=4HL@HL HL31ɺHLH}H1HEHHEHH)PH=EUH}HE1HLHHEH)HEHPHLE؉]HI IItANAHLt1ɺaHL1ɺHLE1HLlHLCHLBE~#HLDDHfBDtLI IuHL1ɺ@HLHLL=MHD$HI9xRHL<wuHL\AƅD|$HLE]HLkHLP 1P`ATHH u LHL1ATT$HH uE1BLlAU#HLIIuD$E1D+HLDDKD9t {AHA,uċ\$UtHL2HLHLHLHLHLIHLEDEDED E 5o  tR HL Pt P#EЋJ#DH LQM@HLMEE HD$PeH+%(HXD[]A\A]A^A_wHLlA뜹m~HLuHL`DE=KHL-A.HHL^Hc HLH@ HcH@fu5H@fȺHLWHLoHc믹?JHLGHL<HL?JHLHLHL HLHv$ HLHHHEغ HL HLHHHFI$@ HHHf.LFHc΍FeH4%L9 AWIAVAUATUSH@LD$Ht$<HL H\$D$ I9EhH|$LcLHLI\pH pxD$t$8Lc|$ D$$11HLdIG@HH$LH<$HHHLM4AdžH<$H<$AdžHH{H1HHHH)HC08HL HLHLdHcHLH@ ʉ ѺHL1ɺHLHcHLH@HBR  Ѻ HLHcH@ HLHc=H@ LHLHLAL1ɺH=gHHLL9r*dHL9s HLtֺ HL(JHLHL3H1L 5)HL)HLK)‰պ'HL'HL )Aֺ(HL(HL)Aպ&HL&HL)I@ AEDHHHLGHL@I@ HWHHHAt^MAIMIO AǁA|HHHA^LHLADŽIH=H=x 2$|$H<$HIbIx LL4$LHLLIǂHT$XAXALHT$LHǂD$VLI$HLHL oHt$H@1[]A\A]A^A_@LHLADŽj1ҹHLDT$DIGIfA~UMLHL$A;HL$E8~u E8fAAE11fD$AHjH$蘎Zu,H衈AE1E11HD$pHfD$UH$KA[TD|$pDd$rH@ HD$NHMHH=t H=$DL$8AH脜HXHH,I>AƉD$H|$D$$H|$AIA{H<$HHDD|$Dd$aHLuH\$ H;HHHH|$`AH<$ADHHNS HH<$AAHLHH 5LHǃHD% HHƸD9AB9GЉ$Lǃ< C81D$D$$ HXLHHt'HH HqHyH H(H>HI9uȋl$-Ld$`Ld$`Ld$huxHT$`HBHL`HD$`tH= HuH|$(HHD$`L9t"HC`HL$`HT$hH|$0HyHK`HHPH|$(H@ HHŅ| AVAUATUSH8Hu []A\A]A^L0 L8 L@ MI|$(Ad$PI|$(H={41 H9k4~ HHH胼uHLMHk@H1H0 HHLHH=Mu`HuH={4XLHk@H1HH8 HLMuH={4 L5HHx@At |xuo AUATLg@USHLHeH%(HD$1H$HD$S4HƅHCp1HHHHHxxu H8Hc̓H$LE .E!E DHAƅH1A!Du!t6tCHcHHt AtnAuʋ AxA H[]A\A]A^A_ L|e U!DHA MHIL}tuUHèI9uL7tJE1D]At4AtH}LsLuAMX1۸HHDAtI݀H90x L&HHuIHt_Ah;tPIpH90x@t D=Eu IH HAIŨA9H HH0gD-H ELHE1I'AJ4McHtdD|E1HXHt(8tDEu9„DEMEEHHuIIu D9D9DDDD J9HL]HHI|PrAuAIcHHI|P1L`LA1A'HXHt"8tu9„DEEHHuNj%AJD9~ EA)D9~AEAAS2D1уD ʈS2 DxLAD9L 1H pHH[]A\A]A^A_tS2u?LA눋PD9D9 HA)OE1ARff.HcHHHHHDPHHAWAVAUATIUHSH0LHeH%(HD$(1M7MnGAt A|x5uڀttH[]A\A]A^H[]A\A]A^Il$pAD$xAD$|H[]A\A]A^ 머ff.ATUSHHHeH%(HD$1HCpHHYHHHLeHE ) ‰Hc4Hк@@9uDHcDH H)Af ɃDfHcҋ4ȁ@m@u<t)H{puHǃL9HD$eH+%(MH1[]A\ǃ)HcЋ4@uHc 4ǃ j) / t>$H{pHǃ) H{pT$1fºf3Ht$L9ifFtt|x(SHHtuCLt u tHC([ HH@fUSHHHHHtEH9]pt[]E|[]f.SOHH Hٺ HKLHHHHHHHǃ[ff.fAWAVAUATUSHPeH%(HD$H1HHHHNjIAL$tmHo@HMcHHLHLIDǀHSpHPp1HT$HeH+%(@HP[]A\A]A^A_HG@Al$HHD$HcHH|$HIcHHHD$HHHIDI\pǀH@pHHIDǀH@pAD$LcLHHLIDǀH@pAD$LcLHHLIDǀH@pHLHL`HL%bH=X HHLh1D` 1E~$HHHAuCA9H(C HHxH(H0H@H H8HH@HHHHǀ\Hǀ`HhǀpH9uƃPHHCDH)H,HH"H1ҾHrHHHD$Ht$HHHD$ HHD$(HHD$0HHD$8HHD$@HD$HH H¨HXH`H9uE1RHDHHcҾHIHItvDMtIBIvEHHcAD XDHHD 1H߾ +1ҾHU@HE1C$H߉ՃAb HAHH HHHAnH\HMH;H)AE1$AtCvAE‰$F$1C $Hߍ@D<DDH߀t/DH߃DH߀AAA_LcPD|$I$AtgAIcE|$HHHLpAt$`1HH C8H C00AtvD$D|$IĨI$AuAD$HcD$HIĨHIHALpAt$1HH C8H C0Dt$2H,Lt$LHL$LHHHHI\p3jH\$HHL$HHHHHADŽHHHADŽLHLADŽLHLADŽff.fAVAUATLcLUHSLLlpAuDHLEuMu[]A\A]A^DEuAeLdHTHXHHHt ǂ8HH9uIIXHHHH9uI}11LbIs11HcIiԈE1E1Hi1HHCKHHcHiHiɈHH鉹H@ DLJpLNpLIpLHp<IEHH@ HL[]A\A]A^fDU1SH~ t!ƃt Ƀu1҈HH=uE1E1E1AD111D@Sf<tgft^΀Eۃt<t\ @ f fAxHHuAIAu[]1fuE1tS1fAu tC ff.H@HH@ H1I@ MF(D[H]HA\A]A^H@ HHD$H@ HHD$H@ HAHS11HE1E1HeH%(HD$1HD$HT$fD$PHt$YuT$ HT$eH+%(tH[KStHH@ DC[]HI@ HHI@ DD$DHHI@ HHI@ DD$DHHI@ HHI@ HHI@ D$HHD$ut$HHH@ HHH@ HHH M$$HHHHHH$Hd$HHMHU$H$HHT$H $HH $T$H M$$HHHHHH$HU$HHEEH M$$HHH$H;U$AȉLHHH;AˉHLL$8HLD$0DT$D\$$$D\$DT$LD$0LL$8HDDHL $HL $HMHU$LDEHHU$HHH U$HHHHHHHU$HHHU$HHHU$HHU$HHH}$HIHLH‹HHH $HH U$HHHHHHHU$HHU$HHHU$HHH}u,=}1Ѓ}8EE @ E,UHUHdHEH@ HL$HL$H@ DDHL$HL$H@ HHH@ HHH@ HHH@ DHHH@ DHHHiDDLD$HDL$D$HD$L|pH@ HHD$D$IiֈDL$LD$DuvLHLD$F,͸D $ADLHD $LD$H@ HA$H"LHLD$F,͸D $AD#LHD $LD$UtH@ DH[]A\HA]A^UtH@ DH[]A\HA]A^UtH@ DH[]A\HA]H@ DHHH@ [H]A\HA]A^H@ HHH@ DHHIiԈH@ HiňHHJ~HDJ|HD@|QH~QJxPxA[A^H@ DHHH@ DHHH@ DHHH@ DHHH@ VtHHH@ VtHHH@ [H]A\HA]I$@ HH[]A\A]I$@ HHH@ AT$tHHH@ HAbHDLHDHAH@ HAluZ[]A\HDHH1L)HiHIAixH@ UtH5Nș)~uA1D$@H@ HHL$H@ HHH@ HHH@ HHH@ HHH@ L $HHL $D$T$fL$@uMiɈBD xH@ DHHL$LHT$D$HIH@ LEL$HHH@ L$HT$DHL$LHT$HH@ HL $H LH|$L $HMiɈB L$LHL$ LH-L$!LH.L$"LH/L$#LH0L$$LH1L$%LH2L$&LH3L$'LH4H@ HHH@ HHH@ HHHL $H@ HHUtI$@ HHHUtI$@ HHUtI$@ HHUtI$@ HHI$@ HHI$@ DHHI$@ HHI$@ HHI$@ HSAHHDE1Dl$I$@ HHnI$@ HHI$@ HHUtI$@ HHI$@ HHI$@ UtHHI$@ UtHHI$@ UtHHUtEHI$@ HI$@ HHHixI$@ HI$@ HHHLkHDHH1L)HiHIAixI$@ UtHUtI$@ HHI$@ UtHHI$@ UtHHI$@ UtHHI$@ UtHH=tXHcHkHI@ T$8HHI@ T$8HHH뱃HI@ HI@ HHH|$DD$|HHEHAH HthHt_HH@ PDL$HHYH@ HHHHH@ HLt$@HHLuH\$ H;HH@ HHH@ EDDHHI$B40DExAXH<$HIFHHt$NI7t H|$`Dd$AE1ɺ  H=LMIHEH|$`Dd$H<$AAH H@ HHHHcH@ HHkHHH@ HHHH<$HL4$HLH|$`AALHH@ HHH@ HHIz ILHH@E1E1IRH)IH)I HULHLT$(H|$(D$AXD$tDd$H|$`DHE1E1Ƅ$$C$$Ƅ$UH$_uHD$OHl$HHHt$OHtDd$H|$`|$OyH1HHl$Ht$XHH9yFH|$`Dd$H<$AAH1HL$PHH9yAIADd$E11fDŽ$AHjH$Zt>1E1E1HD$p1HfDŽ$UH$ZtH|$`H뮃=l$rDd$pt8L<$HLH|$`@DLHH|$`H<$@DHH=uyHH@ HH|yX H1H;uNH1HHH[]A\A]A^LHuHHH=tH@ HD$HD$IEHH@ HHCHH@ HIEDHH@ HHC[D]HA\H@ A]A^A_HHCHH@ H[]HA\A]A^A_HCHH@ HHC[0]HA\H@ A]A^A_HHESH[]H@ HHESH[]H@ HDDT$HPHCH@ HH}pDT$DT$DD$HPHCH@ HH}pHIENL(ED$IU(HH@ BDHPHZIE[H]A\H@ A]HAGHPHCH@ HHC0HAH@ HAwVHsH@ PHHYHSH@ HuHD$D$S HD$D$H@ HHI@ DH1Ht$LI@ <H,Ht;A9t)H@ HH1ҾHHHuDD$D-H[]A\A]A^A_D$H@ HHD$I@ HH[]HA\A]A^A_H DDL$HH@ HS2DL$D H@ HDL$ T$HT$DL$ H DDD$HH@ HDD$BD9~ D9A%H DDD$HH@ HDD$%H HDD$ ADL$H@ HDL$DD$ %H DžLHH@ HT$HL[]A\A]A^A_H AhDHH@ HH DLDHH@ HAvAAAADt$PH DHH@ HH HEDH@ H5=H HDH@ H5=EA)%A4A)IHMIWhB|$|$ E11 AW 1AG HcHiAD9puA HiADpt ƒuIADD$T$H@ L $xT$L $DD$эIy Dƒ IcA ҃HiHtpL $IyAƁPH@ x1IAwIOH@IOPHH$AwH<$IGhǀIhAGAO H<$7҃^AO H<$1҃CH<$2H<$3H<$5H<$1Ҿ0=tLAL$ y-IFHH@ H11AT$ %|$ t3t/IVH$H@ H11AD$ $H1IX8M'IohAwXLAw\1LAw`LHHH8GLuHAInLd$1LLm@LM41HHEp19}HxxuLHcLDH IHMAF L19~IHtbLHAىD$HHLHEIuMH@ HHD$t(ڀIULHD$H@ HHD$HBHH@ HHuHDHHvH@ SHupDHXZI@ HHHSK HH@ HIEHE1H@ HJ<HtIIuIEHAH@ H5=IEHH@ HJ<Ht 11IIuIEHH@ HATL%U1SHkLHt!HHIuLHH2HL-HcuH2H3HHHuH=uHL=uHcHk=uHcHk=uHkHHHU[]A\H@  compandingalawoverrideforceloadtimingcablebri_persistentlayer1bri_teignoreredbri_alarmdebouncebri_spanfilterbri_debugvpmnlpmaxsuppvpmnlpthreshvpmnlptypevpmsupportneonmwi_offlimitneonmwi_envelopeneonmwi_levelneonmwi_monitormax_latencylatencyringdebouncefxsrxgainfxstxgainfxorxgainfxotxgainnativebridgebattthreshbattalarmbattdebouncefxshonormodefastringerboostringerlowpoweropermodereversepolarityloopcurrentfxovoltagefastpickupdebuga$aaaaaaa#h@pl~:@`@@r @p@r@@p @`@`@?@`@p@p@p@r@`@@`@r@r@r @r@`@`@`@r@`@`@r@p@r@@@`@`@p@p@r@`@@p@`@p@r@`@p@r@@@`@@l@r@`@@`@`@` @`@e @`@r@r@r@@@@`@r@`@`@UQK7I33    0{cpx}~`    @6 !L"#$ %&'( )@ *+Bhfc_timer_expirehfc_readcounter8hdlc_tx_frame    VPMADT032VPMOCT032TDM: Called watchdog &x->waitError pinging DSP (%d) c, reason %dc VPM: Support Disabled wctdm_bg%sWCBRI/%d/%dWCTDM/%dWCBRI/%d/%d/%dWCTDM/%d/%d-+HW Resetting VPMADT032... RING on %s! NO RING on %s! Port %d: Voltage: %d NO BATTERY on %d/%d! BATTERY on %d/%d (%s)! NEON MWI active for card %d NEON MWI cleared for card %d connect %d => %d dacs connecct: %d -> %d! dacs disconnect: %d! %d: %02x Loop current set to %dmA! txrx-- Setting echo registers: 3.5-3.50.0FCCPort %d: FAILED FXS (%s) VoiceDAA System: %02x ExpresschannelchannelsHybrid cardWildcard TDM&wc->regq%s%dalawulawdahdi-fw-hx8.binDIGIUMPort %d: Not installed DigiumPCI%s Bus %02d Slot %02d%s (VPMADT032)%s (VPMOCT032)WildcardFreed a %s AUSTRALIAc %s JAPANwctdm24xxpautoHB8-0000HA8-0000Wildcard AEX410Wildcard AEX800Wildcard AEX2400Wildcard TDM410PWildcard TDM800PWildcard TDM2400PTBR21ARGENTINAAUSTRIABAHRAINBELGIUMBRAZILBULGARIACANADACHILECHINACOLOMBIACROATIACYPRUSCZECHDENMARKECUADOREGYPTELSALVADORFINLANDFRANCEGERMANYGREECEGUAMHONGKONGHUNGARYICELANDINDIAINDONESIAIRELANDISRAELITALYJORDANKAZAKHSTANKUWAITLATVIALEBANONLUXEMBOURGMACAOMALAYSIAMALTAMEXICOMOROCCONETHERLANDSNEWZEALANDNIGERIANORWAYOMANPAKISTANPERUPHILIPPINESPOLANDPORTUGALROMANIARUSSIASAUDIARABIASINGAPORESLOVAKIASLOVENIASOUTHAFRICASOUTHKOREASPAINSWEDENSWITZERLANDSYRIATAIWANTHAILANDUAEUKUSAYEMENDTMF_ROW_0_PEAKDTMF_ROW_1_PEAKDTMF_ROW2_PEAKDTMF_ROW3_PEAKDTMF_COL1_PEAKDTMF_FWD_TWISTDTMF_RVS_TWISTDTMF_ROW_RATIO_TRESDTMF_COL_RATIO_TRESDTMF_ROW_2ND_ARMDTMF_COL_2ND_ARMDTMF_PWR_MIN_TRESDTMF_OT_LIM_TRESOSC1_COEFOSC1XOSC1YOSC2_COEFOSC2XOSC2YRING_V_OFFRING_OSCRING_XRING_YPULSE_ENVELPULSE_XPULSE_YRECV_DIGITAL_GAINXMIT_DIGITAL_GAINLOOP_CLOSE_TRESRING_TRIP_TRESCOMMON_MIN_TRESCOMMON_MAX_TRESPWR_ALARM_Q1Q2PWR_ALARM_Q3Q4PWR_ALARM_Q5Q6LOOP_CLOSURE_FILTERRING_TRIP_FILTERTERM_LP_POLE_Q1Q2TERM_LP_POLE_Q3Q4TERM_LP_POLE_Q5Q6CM_BIAS_RINGINGDCDC_MIN_VDCDC_XTRALOOP_CLOSE_TRES_LOWNTTEyesnoexpiredOKP%d: %s state %c%d (%s) SYNC: %s, RX INFO0: %s, T2 %s, auto G2->G3: %sRESET?SENSINGDEACT.AWAIT.SIGIDENT.INPUTSYNCDACTIVATEDLOSTFRAMINGPEND.ACTACTIVEPEND.DEACTUnable to retrieve fifo sem new card sync source: SYNC_I span %d: alarm %d debounced Picked analog span ENABLEDDISABLEDReconfiguredConfiguredCNo RXB! b400m-%d%sForcing sync to card 0 Driver unloaded. /build/drivers/dahdi/wctdm24xxp/base.cwctdm24xxp: Can't set tx state to %d Setting FXS hook state to %d (%02x) framecount=%ld Timeout waiting for receive frame. Setting VMWI on channel %d, messages=%d, lrev=%d Configuration of ports failed (%d)! Configured McBSP ports successfully Unable to configure channel #%d (%d)Unable to disable echo can on channel %d (reason %d:%d) Unable to allocate memory for struct vpmoct /build/drivers/dahdi/wctdm24xxp/wctdm24xxp.hfxs_on_hook: Card %d Going on hook fxs_off_hook: Card %d Going off hook SLIC_LF OK: card=%d shadow=%02x lasttxhook=%02x framecount=%ld SLIC_LF RETRY: card=%d shadow=%02x lasttxhook=%02x framecount=%ld Power alarm on module %d, resetting! Too many power alarms on card %d, NOT resetting! Channel %d OnHookTransfer stop Channel %d OnHookTransfer abort %s: Polarity NEGATIVE -> POSITIVE %s: Polarity POSITIVE -> NEGATIVE QRV channel %d rx state changed to %d wctdm_dacs_connect: Can't have double sourcing yet! wctdm_dacs_connect: Unsupported modtype for card %d wctdm_dacs_disconnect: restoring TX for %d and RX for %d WARNING: wctdm_dacs_disconnect() called on unsupported modtype proslic: card %d new timeslot: %d voicedaa: card %d new timeslot: %d qrvdri: card %d new timeslot: %d @@@@@ radmodes: %d,%d rxgains: %d,%d txgains: %d,%d @@@@@ setting reg 7 to %02x hex @@@@@ setting reg 4 to %02x hex @@@@@ setting reg 0x25 to %02x hex @@@@@ setting reg 0x26 to %02x hex @@@@@ setting reg 0x0b to %02x hex @@@@@ setting reg 0x0c to %02x hex @@@@@ setting reg 0x0f to %02x hex @@@@@ setting reg 0x10 to %02x hex Cannot adjust gain. Unsupported module type! setting FXO tx gain for card=%d to %d FXO tx gain is out of range (%d) setting FXO rx gain for card=%d to %d FXO rx gain is out of range (%d) Timeout waiting for calibration of module %d Calibration Vector Regs 98 - 107: ProSLIC on module %d failed to powerup within %d ms (%d mV only) -- DID YOU REMEMBER TO PLUG IN THE HD POWER CABLE TO THE TDM CARD?? ProSLIC on module %d powered up to -%d volts (%02x) in %d ms Loop current out of range! Setting to default 20mA! ##### Loop error (%02x) ##### Channel %d TIMEOUT: OnHookTransfer start Channel %d OnHookTransfer start Setting indirect %d to 0x%04x on %d Setting direct %d to %04x on %d -- Set echo registers successfully Setting hwgain on channel %d to %d for %s direction Channel %d Unable to Set Polarity Channel %d TIMEOUT: Set Reverse Polarity Channel %d Set Reverse Polarity Channel %d TIMEOUT: Set Normal Polarity Channel %d Set Normal Polarity ProSLIC on module %d, product %d, version %d DEBUG: not FXS b/c reg0=%x or reg1 != 0x88 (%x). ProSLIC on module %d insane (1) %d should be 2 ProSLIC on module %d insane (2) ProSLIC on module %d insane (3) ProSLIC on module %d seems sane. Unable to do INITIAL ProSLIC powerup on module %d Excessive leakage detected on module %d: %d volts (%02x) after %d ms ProSLIC module %d failed leakage test. Check for short circuit Post-leakage voltage: %d volts Unable to do FINAL ProSLIC powerup on module %d Proslic Failed on Second Attempt to Calibrate Manually. (Try -DNO_CALIBRATION in Makefile) Proslic Passed Manual Calibration on Second Attempt Proslic Failed on Second Attempt to Auto Calibrate Proslic Passed Auto Calibration on Second Attempt DC-DC cal has a surprising direct 107 of 0x%02x! Failed to read indirect register %d !!!!!!! %s iREG %X = %X should be %X Init Indirect Registers completed successfully. !!!!! Init Indirect Registers UNSUCCESSFULLY. Indirect Registers failed verification. Boosting fast ringer on slot %d (89V peak) Reducing fast ring power on slot %d (50V peak) Speeding up ringer on slot %d (25Hz) Boosting ringer on slot %d (89V peak) Reducing ring power on slot %d (50V peak) DEBUG: fxstxgain:%s fxsrxgain:%s Indirect Registers failed to initialize on module %d. Proslic module %d loop current is %dmA VoiceDAA did not bring up ISO link properly! ISO-Cap is now up, line side: %02x rev %02x DEBUG fxotxgain:%i.%i fxorxgain:%i.%i Trying port %d with alternate chip select The module parameter alawoverride has been deprecated. Please use the parameter companding=alaw insteadThe firmware may be corrupted. Please completely power off your system, power on, and then reload the driver with the 'forceload' module parameter set to 1 to attempt recovery. Failed to load firmware from userspace, skipping check. (%d) Firmware file is invalid. Skipping load. Hx8 firmware version: %d.%02d Reloading firmware. Do not power down the system until the process is complete. Please unset forceload if your card is able to detect the installed modules. Port %d: Installed -- AUTO FXO (%s mode) Port %d: Installed -- AUTO FXS/DPO Port %d: Installed -- BRI quad-span module Port %d: Installed -- QRV DRI card Digital modules detected on a non-hybrid card. This is unsupported. More than two digital modules detected. This is unsupported. fixup_analog: x=%d, y=%d modtype=%d, s->chans[%d]=%p Unable to register device with DAHDI Found a %s: %s (%d BRI spans, %d analog %s) 5Invalid/unknown operating mode '%s' specified. Please choose one of: 5Note this option is CASE SENSITIVE! Final ctlreg before swap: %02x could not allocate mem for ST state decode string! b400m_getreg(0x%02x) interrupted hfc_readcounter8(reg 0x%02x) timed out waiting for data to settle! b400m_setreg(0x%02x -> 0x%02x) interrupted hfc_reset() Module won't come out of reset... continuing. b400m_setreg_ra(0x%02x -> 0x%02x) interrupted setting port %d t3 timer to %lu setting port %d t1 timer to %lu b400m: arg (%d), grabbed data from DAHDI but couldn't grab the lock! %s: (fifo %d): f1/f2/flen=%d/%d/%d, z1/z2/zlen=%d/%d/%d b400m: arg (%d), zlen (%d) < what we grabbed from DAHDI (%d)! %lu: hfc_timer_expire, Port %d T%d expired (value=%lu ena=%d) hfc_timer_expire found an unknown expired timer (%d)?? b400m_getreg_ra(0x%02x) interrupted port %d A_SU_RD_STA old=0x%02x now=0x%02x, decoded: %s span %d: old alarm %d expires %ld, new alarm %d expires %ld port %d: receiving INFO0 in state 3, setting T3 and jumping to F4 Card position %d: new sync source: port %d forced port %d to state %d (auto: %d), new decode: %s /build/drivers/dahdi/wctdm24xxp/xhfc.cNo need for timing change. All is same Setting new card %d now to be timing master Setting card %d to be timing slave /build/drivers/dahdi/wctdm24xxp/wctdm24xxp.hFound new timing master, card %d. Old is card %d Timing change, but only from %d to %d on card %d Picked span offset %d to be timing source For b4->position %d timing is %d !!!Need to change timing on baseboard to spot %d!!! !!!No need to change timing on baseboard to spot %d, already there!!! xhfc_set_sync_src - modpos %d: setting sync to be port %d Span %d has invalid sync priority (%d), removing from sync source list NT Spans cannot be timing sources. Span %d requested to be timing source of priority %d. Changing priority to 0 xhfc: Configuring port %d span %d in %s mode with termination resistance %s %s channel %d (%s) sigtype %08x %sonfiguring hardware HDLC on %s hdlc_hard_xmit on chan %s (%i/%i), span=%i (sigchan=%p, chan=%p) Couldn't allocate memory for b400m structure! Identified controller rev %d in module %d. Disabling all workqueues for B400Ms Setting all cards to return to self sync Finished preparing timing linked cards for shutdown license=GPL v2alias=wcaex4xxalias=wcaex8xxalias=wcaex24xxalias=wctdm4xxpalias=wctdm8xxpauthor=Digium Incorporated description=VoiceBus Driver for Wildcard Analog and Hybrid Cardsparm=companding:Change the companding to "auto" or "alaw" or "ulaw". Auto (default) will set everything to ulaw unless a BRI module is installed. It will use alaw in that case.parmtype=companding:charpparm=alawoverride:This option has been deprecated. Please use the parameter "companding" insteadparmtype=alawoverride:intparm=forceload:Set to 1 in order to force an FPGA reload after power on (currently only for HA8/HB8 cards).parmtype=forceload:intparm=timingcable:Set to 1 for enabling timing cable. This means that *all* cards in the system are linked together with a single timing cableparmtype=timingcable:intparm=bri_persistentlayer1:Set to 0 for disabling automatic layer 1 reactivation (when other end deactivates it)parmtype=bri_persistentlayer1:intparm=bri_teignorered:1=ignore (do not inform DAHDI) if a red alarm exists in TE modeparmtype=bri_teignorered:intparm=bri_alarmdebounce:msec to wait before set/clear alarm conditionparmtype=bri_alarmdebounce:intparm=bri_spanfilter:debug filter for spans. bitmap: 1=port 1, 2=port 2, 4=port 3, 8=port 4parmtype=bri_spanfilter:intparm=bri_debug:bitmap: 1=general 2=dtmf 4=regops 8=fops 16=ec 32=st state 64=hdlc 128=alarmparmtype=bri_debug:intparmtype=vpmnlpmaxsupp:intparmtype=vpmnlpthresh:intparmtype=vpmnlptype:intparmtype=vpmsupport:intparmtype=neonmwi_offlimit:intparmtype=neonmwi_envelope:intparmtype=neonmwi_level:intparmtype=neonmwi_monitor:intparmtype=max_latency:intparmtype=latency:intparmtype=ringdebounce:intparmtype=fxsrxgain:intparmtype=fxstxgain:intparmtype=fxorxgain:intparmtype=fxotxgain:intparmtype=nativebridge:intparmtype=battthresh:uintparmtype=battalarm:uintparmtype=battdebounce:uintparmtype=fxshonormode:intparmtype=fastringer:intparmtype=boostringer:intparmtype=lowpower:intparmtype=opermode:charpparmtype=reversepolarity:intparmtype=loopcurrent:intparmtype=fxovoltage:intparm=fastpickup:Set to 1 to shorten the calibration delay when taking an FXO port off hook. This can be required for Type-II CID. If -1 the calibration delay will depend on the current opermode. parmtype=fastpickup:intparmtype=debug:intalias=pci:v0000D161d00008008sv*sd*bc*sc*i*alias=pci:v0000D161d00008007sv*sd*bc*sc*i*alias=pci:v0000D161d00008006sv*sd*bc*sc*i*alias=pci:v0000D161d00008005sv*sd*bc*sc*i*alias=pci:v0000D161d00008003sv*sd*bc*sc*i*alias=pci:v0000D161d00008002sv*sd*bc*sc*i*alias=pci:v0000D161d00000800sv*sd*bc*sc*i*alias=pci:v0000D161d00002400sv*sd*bc*sc*i*depends=dahdi_voicebus,dahdiretpoline=Yname=wctdm24xxpvermagic=5.10.221 SMP mod_unload  ( (  8 8    (0( 0( 0(  (  (0(  (08x80( x (080( 80(  (08H80( H80(  (0880(  @ @ (0( 0 ( ( (08P80( P (08`80( ` (08x80( x (0( 0(  (08X80( X (0(  (0(  (  (0( 0 (  ( ( ( ( (0(  ( (0(  ( (  (8( 8 (0880(  (0880(  (08x80( xx (08X80( X (0880(  (0( 00( x(0(@xX0( 0( ( 0( 08@80( ( (0( (08x0( 0  (@( @( @  (0880(  (0(  (0( 0(  (080(  (08H80( H  (080(  (0880(  ( ( ( ( (  (08P80( P ( ( (08H (08H80( H80( H (08h80( h (080( 80( 80( 8 0 0 (0880(  (0( 0(  080( H80(  80( (0( PXPH80( H80( H80( Hh80 ( 0%        / / / / / dS / / J/ / / / / > K wctdm24xxpGCC: (Debian 12.2.0-14) 12.2.0GCC: (Debian 12.2.0-14) 12.2.0GCC: (Debian 12.2.0-14) 12.2.0   !"#* +'U +< +Q +f +{ +, +W +    "#/7B|M [evP0Pz( !< !P(+`SJ &`:"G0}X%nm @ 4 ( (  &( 0/'0bE!M@aV z%R , 0 ( 0 @vC0! @ .=!]M X `!L!@ !DS!H8!@L<`!x= x!0@,@A+ ,A}W *5PB@H b`FlG  J J\ K= K nL0O  >@P D [!(~!8!06!4!a!!,   @!0/Ec P0! !c_nPr 48!  `HD @ !$; X  !  `y  -1S`< Jav-=M]0A 3 (F  p ] ay  ((  l  P(  % @ &_ x(s  ?p " (   U4 &W (o   CE  (   [' I (`  { \ z @(    h(  * J (_  ( x  (  8  (  H ,(E `b0( 2X(  M0(H dj(  ( (#  <Y (k  H(  p(  ((:  Pp( ( (( 8 A( H +YK8(` X yt`( h ( x .(B  Zv(  (  (( 5 TP(h  #x(  ;(  * C(Q c  q {  ` p  P0PF)~/o#Ta(ss & -x0L ,}&9#<X\/yL !t!x` sd2Hbe/p"& !0!4@'#c'E( 02 ``8'GN!p^'vH|';IR`PqPg !`$6 ESYpnMnS! 1PBS\my= (2 !l0I ^_  #2@Tfx0&>MZp@( !h>FS]d yU Q  ( p2 E Z f  !dz           !!Пs)!\  0 @   !%!r!r>"#j%7q%$W& &' `(5*,",R,$a,,H,,<C-@I-D^---8-l&.K.7R..L..$/0%07,0S0~0$0S18[1{1282d2282!3G3L3D3b4D4@5D55555R50 6D(6LW67c66$6676677 7M.7L77<7<88898Q8@W8D88H9Hj:8s:::@:D:D;7;.M;S;7b;;;7;P;8;7;r<<8<<<<<8< =$%=T=s==== >.>@>F>8N>~>8>|>>>8>>??>?cZ?q??8?>??? @I@f@@@@@AAA8!A.AjA}AAAA8A' AA BB0B6B8>BS IBB8B BB8C fCmC8vC} CC8C DD8!D DD8Dy =ECE8KEW EE8E =FCF8KF XFFLGG) G8G Gl H&H CHI lHHH8I$QIaI$sII I *IvIIIIIJ J;JPJWJoJxJ JJ7J JJJJJKK7K K'K MKbKiK pKK KK K  K  L #L>LTLhLwLLLLLLLLM!M8MMMMMN(N2NBN[NeNwNNNNNNNOO'O:O  xO  O! OOO O4P9P?QQkQx QRFRwmRkSTT>TkTTLT7T@ 'UkU;VDJVwVVVVVWkBW8KW ZWWLW7 XdX XFXkOY8YYbYYYY ZZ7ZZc[u[[[7[[[\S\\y*\3\7E\K\7R\/\K\L\\  \ ]A]V]k]]]]]]^^.^>^7E^[^^^^0_D_R^_d_7k________$`4`,.`0<`4J`P`b`h````8``aa2#a7*aca0ra4zaaaa(a a  aa(b b Tb(kbb_bbbbb,cc c4c 9cBc VcbcSrcyc c c (ccWc d[d7dddddd5eNeTe7[epezee(e eeee(e -f?f(Kf Vfhf(nfKf fffLfffgg)g7g7Sgdgogg8ggggh!h;hWhuh hh7hh hLi @Xi 0iiiii jj7 j*jtJj_jjjjjkkkkk ll(l:lOldlyllllllmm+m@mmmmm mmmmnn!n 8nPn^nvn nnno'oFoNokosoooooop qq'qpqqqqqqqrrr$r0r=rvrdr4rrr rr r r r}s s lJsds,ks vss `s <s 0s @ssDtt@t;tvtt @tt ttTt {t'u8u 0Du @Zu uuuuuv c\vlvtvv vvvv$v vv vAw ^wrwzwwWw w'x 6xxx xyx~yyy5yDyyz zzn$z-z @5z 0;zzzz z{{ 8{ { A{ {| &||Yt}8}}}~S~ ]~~~~~ }~#2Oga f}g D `@:DĀ$Ӏ5?~MTY}u*2; 0AFRZej|ȂЂ Fƒ΃}ڃ$)\cB| ل<k 2 <2 J? 5U 8]t b ? G zɅ$,^u} =IQ}X` A}ʉ5!-5XnЋ}CJQpw~%*z  č"}Sxo'V [cnƏΏ"Yr}}+.5WKc 8BHȒ $ 8 ` p nʓnԓ !n).;Hzsx!~ A {"—˗C"  }3!k˜٘P :B}^! }" ™} }DĚٚ c k 3"8-&|&қk<'Ü  \ ם 4 A  m'Y`xs_'Ÿڟ  q  i9 p? &%:LIU'DK'd   `ۤ  ȧ0?s~l'&n  Ʃ֩ 4 4! $< PO_ (kp (  ,!+9 R` lq ` 3 `  3!&< 8H\ Kah Ymr ]b d W- $,. BPq%e ; 0- 8 PR.$ Gd2t 2 R. X*  , ).,0< 8HM.[ (gl 7z M*   s:  [1 8+0.> MR5` o{K5 ? h>- 9>x>R ^c>q }q? 0x> 0x> kXn|U> }>U>U> ' < S h 8}    vC     E   C8 `N W Bh `t y KE 8  D   !D   C X  &H $ ) G8 I Z l } 0   J      J  + c i  7 =K 7 =K   =K    *L  ! O/ ; @ Oj o x T   aQ    aQ2 x J_KdaQm P rwaQ kGTQ 3H]r aQ ( Q aQ P T ',aQ? NSD`Z _cr HD` xD` D` |^ `! -2aA MRah zD_  |^ ( *a  D` */aB NSR\h ` ty*a *a [ k_ E^1 (=RW^^n @  E^ a  a& P27aJ V[*aa#k(v  d 8qd  ![e h J) B> @G 0P _k Xp~Gt 0nGt S pn~ v6 RY}}d inzsJDg z hP {$t+(; M RWve qyn~~  g  v H vm,{J,J<Qgd inz|,,J&L.G pOYgj ot~g  @ S     }n2" 2R bkcv Ʌ X ۆ   5@ SXl 8 h p @p *č0t7 DtWeo*     &+}E XQWu  ~5 5  ,n  - 9H,]hn  p    &- B P &f y        O !!3!T! pg!!! 2 !!! p!(" (>"C":Q" `j"p"v"{"ї" (""""ї"" 5" p"}#n###n#$($G$xh$$$$$$$$$ %>% V%%,%,%,%%4& 2;& p@&^&|&& t &  & &&&қ&&қ''' ''  3'<'G'  Z'_'' ''' X''' ''K'  (( ( 6(  O(U(a(f(q( (( (,( ((h(~\ 3 : ?H Q(Yc,m }   K LC D? @ ; <$+  2 p9  >{O  8Xx`pP@0@0H``h!pF@P =@ @pxF@P` =@  00@9PB`Rpbs 8Ph( @Xp &19@H O T0 ]H e` mx s }      8 P h        ( @ X p   " . 5 > F M0 YH c` lx u       8 P h        8(P7hK_p(@Xp0 H2`BxQaq 8Ph  (08@HPX`hpxu%1 6ott ˖$(|,0%4pp X(0p8H$PXp`p xp~ppp p(8@HpP`hppx((p,8p0Hp4`p(808p@P<X`phx@ppDpHpL (p0@HPpXhpxpp (p8pj@Hp j0D8X@pHjXH`hhpp(xp0p4p8p `(0p8HPPXp`pxpLpPp<!_ >w! $m(,04M8S<@U D% H; L P T X#\`-dhlptx| 0==>>-AAHBWFGJJvLO&O3PR`jmnrut|#* NP }RmJ y$r(C,Ú0ћ48<֝@&DXH_LPT>X \`d h  $7(:,<0>4C8r<s@uDwH|LPTX\`dh l!p&tCxP|RW^b$0CPRSTjkmr04 68:? $(,04@8J<L@UDWH\L`PaTgX\`dhlpJtMxR|xNOQSZ`bdfgk"027<>BFLH L M O Q S U $Z ( , 0 4 8 < @ D H L P T! X# \% `* d2 h3 l5 p7 t9 x; |     (015  #$%')+ -2@BG I$K(L,M0T48<@DHLPTX\`dh lptx|%0>GLTX'0  $(,048<@DHL P T X \ ` d h l!p!t!x!|!!!! 0 0000000<=====$=z={=}=============== ===>> >$>(>,>0>4>8@<@@@D@H@L@P@T&AX'A\)A`+Ad-Ah2Al@ApBAtDAxIA|WAcAAAAAAAAAAAACBDBFBHBMBPBRBTBVBWBdBPFQFSFUFWF\FFFFFFG GGGGG G$G(H,H0H4H8H<&I@0ID2IH>ILGIPPITIXI\J`JdJhJl Jp"Jt.Jx2J|6J:JJJJJJJJJJKKKKKKmLpLrLtLvL{LLLLLL OOOOOOO$O &O+O0O2O4O ?O$CO(JO,-P0.P4/P81P<3P@8PD=PH@PLBPPDPTFPXHP\LP`MPdWPhRlRpRtRxR|RRR[[[[[[[%[0[````````ccccccccc~jjjjjjj jmmbnpn qn${n(n,n0n4n8n<n@nDnHnLnPnTqXq\q`qdqhrlrprtArxPr|RrYr[r]r^rgrnritltmtotqtstutzt`xxxxyyyyt||||]`bdfg h u v x z |  ق $  ( , (0 94 A8 J< L@ ND SH L P T X \ ` )d *h /l Ip Jt Vx v|       $ & + A B G P R W m n s |        B G T V  5 = ?  H  M f  g  i  k  p  $  (  ,  0  4  8  <  @  D D H 0L _P  T \X }\ M` Xd \h l p t x |              F     K L N S        $          ! # ( - 0 1 6$ =( y, z0 {4 8 < @ D H L P NT yX \ ` d h l p t x |                                " $ & / 3 6     $ ( , 0 (4 08 2< ;@ @D BH FL IP ST 6X 7\ 8` :d <h >l @p Et |x |               ؋ ً ۋ ݋ ߋ      O P U | }             $ ( H, I0 J4 L8 N< P@ RD WH |L P T X \ ` Ȏd Ύh Ўl Ҏp ׎t x |    3 4 6 8 = D J L N S h i k m r         @ A B D F H JOV` bdhn $(,048<@D HLPTbXp\`dhlptxh|ijlnprw HPRTVX\`dÚȚ<@ BGIJN T$ɛ(ʛ,˛0͛4ϛ8ћ<֛@ڛDHLPTX\`d h l ptx$|02348Нӝԝ֝۝ 6Xd~ƟПџ>CPRV^`ab f $ ( ,0C4P8R<T@VD]HbLPTX\`dΩhϩlѩpөtթxک| %Fo #$%.0249\cir{}  $s(,048<@DBHVLPvTwXx\z`|d~hlptx|s!|!}!!!!!!"&@'c'''''''(g/ X/ /$M (/0Z 4/<@/HL/T{X/`d/lp/xW |0!09090n0~/w//>/Q//0 0Xd b$e,b0e8Ț<eDHeP]Te0 8 @PrH`P`0X+`xPX.symtab.strtab.shstrtab.note.gnu.build-id.note.Linux.rela.text.rela.text.unlikely.rela.init.text.rela.exit.text.rela.rodata.rodata.str1.1.rodata.str1.8.rela.smp_locks.modinfo.rela__param.rela.retpoline_sites.rela.return_sites.orc_unwind.rela.orc_unwind_ip.rela__bug_table.rela.data.rela.gnu.linkonce.this_module.bss.comment.note.GNU-stack@$.d?%:@o$J(E@0?$^}SY@VH$n i@Z0$ ~ y@HZH$ 2 2xE8@lP$ @m@$#@ |0$#l@P|$<%@@؄(k$Q\@p$)`S $@p $4U@/@0$NX5 S0X`\XX-%f R!l